电子发烧友App

硬声App

0
  • 聊天消息
  • 系统消息
  • 评论与回复
登录后你可以
  • 下载海量资料
  • 学习在线课程
  • 观看技术视频
  • 写文章/发帖/加入社区
创作中心

完善资料让更多小伙伴认识你,还能领取20积分哦,立即完善>

3天内不再提示

电子发烧友网>今日头条>小蓝鸽万词霸屏,成千上万关键词登上首页

小蓝鸽万词霸屏,成千上万关键词登上首页

收藏

声明:本文内容及配图由入驻作者撰写或者入驻合作网站授权转载。文章观点仅代表作者本人,不代表电子发烧友网立场。文章及其配图仅供工程师学习之用,如有内容侵权或者其他违规问题,请联系本站处理。 举报投诉

评论

查看更多

相关推荐

安科瑞AM系列微机保护装置在贵阳科翡翠滨江配电工程项目的应用

关键词:安全性;可靠性;微机保护装置;备自投保护装置 1 概述 科企业股份有限公司成立于1984年,经过三十余年的发展,已成为国内城乡建设与生活服务商,公司业务聚焦全国经济具有活力的三大经
2024-02-20 11:01:34

深开鸿用三个关键词,为你解读《2023 OpenHarmony 年度运营报告》

的一笔共同奏响中国基础软件的光辉岁月作为OpenHarmony生态的领军企业深开鸿通过三个关键词带你读懂《2023OpenHarmony年度运营报告》01繁荣202
2024-02-02 17:00:50143

Agilent 安捷伦U3402A台式数字用表

安捷伦Agilent U3402A是一款低成本的 5 ½ 位双显示基础数字用表,U3402A台式数字用表可提供恰好够用的测量和数学功能,能够非常可靠地执行基础测试。Agilent U3402A
2024-01-20 11:23:26

求助怎么减少labview仿真中生成信号的点数

这个仿真控制生出来的信号数据点太多了,计算一秒都上万个点了,求求怎么减少点
2024-01-19 19:55:46

真有效值用表和平均值用表的区别?

最近在看福禄克的官网看到几款用表,15B+和17B+,因为自己也是在用这两款用表,就看了看这两款用表的参数,之前一直以为这两款是真有效值用表,谁知道是均值用表,不过用的时候应该还是挺准的,请问在测量变频器输出电压这种PWM波形的时候,用哪种用表应该更准确一些呢?
2024-01-09 17:27:06

是德科技34461A台式数字用表

Keysight 34460A & 34461A Truevolt 数字用表Truevolt 数字用表由创造 Keysight 34401A 的团队设计,全球最为畅销的数字
2024-01-08 10:17:31

使用LTC3863产生-5V输出电压时,连接负载后压降特别大是什么原因?

输入+5V,电流足够,空载时测试可以输出-5V,但是连接负载后,电压为-2V左右,不知是什么原因。各项参数均按照推荐器件资料上首页的推荐电路设计。
2024-01-05 08:52:51

鹏工业信息采集平台 软件界面介绍

关键字:鹏测控典型界面,鹏测控交互界面,鹏测控图形界面,鹏测控历史界面,鹏测控自定义界面 软件测控平台对UI程序界面,实现形式没有要求,也就是说,客户可以根据自己的需要设计任何样式的交互
2023-12-28 17:07:04

测测这10个AI关键词你清楚几个?第4个今年最火

原文标题:测测这10个AI关键词你清楚几个?第4个今年最火 文章出处:【微信公众号:微软科技】欢迎添加关注!文章转载请注明出处。
2023-12-21 08:15:02240

#2023,你的 FPGA 年度关键词是什么? # 对状态机的疑惑?

自己平时一直在写的状态机格式,同事昨天说我写的是一段式的最多算是伪二段式的,说的看了不少文章我也有点疑惑了,所给大家贴出来一起看看,我这边写法和野火的一直这次就贴出野火FPGA的code,供大家参考对比。 module complex_fsm ( input wire sys_clk , //系统时钟 50MHz input wire sys_rst_n , //全局复位 input wire pi_money_one , //投币 1 元 input wire pi_money_half , //投币 0.5 元 output reg po_money , //po_money 为 1 时表示找零 //po_money 为 0 时表示不找零 output reg po_cola //po_cola 为 1 时出可乐 //po_cola 为 0 时不出可乐 ); //********************************************************************// //****************** Parameter and Internal Signal *******************// //********************************************************************// //parameter define //只有五种状态,使用独热码 parameter IDLE = 5\'b00001; parameter HALF = 5\'b00010; parameter ONE = 5\'b00100; parameter ONE_HALF = 5\'b01000; parameter TWO = 5\'b10000; //reg define reg [4:0] state; //wire define wire [1:0] pi_money; //********************************************************************// //***************************** Main Code ****************************// //********************************************************************// //pi_money:为了减少变量的个数,我们用位拼接把输入的两个 1bit 信号拼接成 1 个 2bit 信号 //投币方式可以为:不投币(00)、投 0.5 元(01)、投 1 元(10),每次只投一个币 assign pi_money = {pi_money_one, pi_money_half}; //第一段状态机,描述当前状态 state 如何根据输入跳转到下一状态 always@(posedge sys_clk or negedge sys_rst_n) if(sys_rst_n == 1\'b0) state <= IDLE; //任何情况下只要按复位就回到初始状态 else case(state) IDLE : if(pi_money == 2\'b01) //判断一种输入情况 state <= HALF; else if(pi_money == 2\'b10)//判断另一种输入情况 state <= ONE; else state <= IDLE; HALF : if(pi_money == 2\'b01) state <= ONE; else if(pi_money == 2\'b10) state <= ONE_HALF; else state <= HALF; ONE : if(pi_money == 2\'b01) state <= ONE_HALF; else if(pi_money == 2\'b10) state <= TWO; else state <= ONE; ONE_HALF: if(pi_money == 2\'b01) state <= TWO; else if(pi_money == 2\'b10) state <= IDLE; else state <= ONE_HALF; TWO : if((pi_money == 2\'b01) || (pi_money == 2\'b10)) state <= IDLE; else state <= TWO; //如果状态机跳转到编码的状态之外也回到初始状态 default : state <= IDLE; endcase //第二段状态机,描述当前状态 state 和输入 pi_money 如何影响 po_cola 输出 always@(posedge sys_clk or negedge sys_rst_n) if(sys_rst_n == 1\'b0) po_cola <= 1\'b0; else if((state == TWO && pi_money == 2\'b01) || (state == TWO &&pi_money == 2\'b10) || (state == ONE_HALF && pi_money == 2\'b10)) po_cola <= 1\'b1; else po_cola <= 1\'b0; //第二段状态机,描述当前状态 state 和输入 pi_money 如何影响 po_money 输出 always@(posedge sys_clk or negedge sys_rst_n) if(sys_rst_n == 1\'b0) po_money <= 1\'b0; else if((state == TWO) && (pi_money == 2\'b10)) po_money <= 1\'b1; else po_money <= 1\'b0; endmodule
2023-12-16 09:38:38

#2023,你的 FPGA 年度关键词是什么? # PWM模块更新

之前的因为一些问题发的code有点问题,这次把更新之后code发了出来,虽然也不是很完善但是初步还是可以用的; 对应的code如下: `timescale 1ns / 1ps modulecreat_PWM ( inputwireclk,//系统时钟为100MHz inputwirerst,//系统复位 inputwirekey_flag1,//占空比上调 inputwirekey_flag2,//占空比下调 inputwirekey_flag3,//频率上调 inputwirekey_flag4,//频率下调 output regPWM ); //PWM波形频率选择 reg [1:0] Frequency_seting; always @(posedge clk or negedge rst) if( rst == 1\'b0 ) Frequency_seting <= 2\'b00; else if( (Frequency_seting == 2\'b11) && (key_flag3==1\'b1) ) Frequency_seting <= 2\'b00; else if( (Frequency_seting == 2\'b00) && (key_flag4==1\'b1) ) Frequency_seting <= 2\'b11; else if( key_flag3==1\'b1 ) Frequency_seting <= Frequency_seting + 1\'b1; else if( key_flag4==1\'b1 ) Frequency_seting <= Frequency_seting - 1\'b1; else Frequency_seting <= Frequency_seting; //PWM波形的频率设定 reg [23:0] Frequency_CNT_MAX; always @(posedge clk or negedge rst) if( rst == 1\'b0 ) Frequency_CNT_MAX <= 24\'d9_999; else case( Frequency_seting ) 2\'b00 : Frequency_CNT_MAX <= 24\'d9_999; 2\'b01 : Frequency_CNT_MAX <= 24\'d99_999; 2\'b10 : Frequency_CNT_MAX <= 24\'d999_999; 2\'b11 : Frequency_CNT_MAX <= 24\'d9_999_999; default : Frequency_CNT_MAX <= 24\'d9_999; endcase //PWM频率生成计数器模块 reg [23:0] counter; always @(posedge clk or negedge rst) if( rst == 1\'b0 ) counter <= 0; else if( counter == Frequency_CNT_MAX) counter <= 0; else counter <= counter + 1\'b1; //占空比调节模块,步进为10% reg [23:0] duty_counter; always @(posedge clk or negedge rst) if( rst == 1\'b0 ) duty_counter <= Frequency_CNT_MAX/2; else if( key_flag1 == 1\'b1 ) duty_counter <= duty_counter + (Frequency_CNT_MAX/10); else if( key_flag2 == 1\'b1 ) duty_counter <= duty_counter - (Frequency_CNT_MAX/10); else duty_counter <= duty_counter; //生成PWM always @(posedge clk or negedge rst) if( rst == 1\'b0 ) PWM <= 1\'b0; else if( duty_counter <= counter ) PWM <= 1\'b1; else PWM <= 1\'b0; endmodule 对应的测试用的testbench如下: `timescale 1ns/1ns module tb_creat_PWM(); //****************** Parameter and Internal Signal *******************// //wire define wirePWM; //reg define reg clk; reg rst; reg key_flag1; reg key_flag2; reg key_flag3; reg key_flag4; //***************************** Main Code ****************************// initial begin clk = 1\'b1; rst <= 1\'b0; key_flag1 <= 1\'b0; key_flag2 <= 1\'b0; key_flag3 <= 1\'b0; key_flag4 <= 1\'b0; #201; rst <= 1\'b1; #200; key_flag1 <= 1\'b1; #100; key_flag1 <= 1\'b0; #20000000; key_flag1 <= 1\'b1; #100; key_flag1 <= 1\'b0; #20000000; #20000000; $stop; end // creator clk always #10 clk <= ~clk; //*************************** Instantiation **************************// creat_PWMcreat_PWM_inst ( .clk ( clk), .rst ( rst), .key_flag1 ( key_flag1 ), .key_flag2 ( key_flag2 ), .key_flag3 ( key_flag3 ), .key_flag4 ( key_flag4 ), .PWM ( PWM) ); endmodule 对应的原始code中的参数如果修改一下是可以大幅缩短仿真时间,但是一时没有想起对应的修改模块内部变量的方法,后面找到后再进行补充。 写的还是感觉比较差劲,只能说说慢慢进步吧,自己也是自学不久。
2023-12-12 10:47:20

用表测量电滚筒绕组的阻值,会烧坏线圈吗?

最近在进行现场上电过程,发现一些电滚筒电机的无法运转,因此测量电机绕组的阻值(用用表)和电源,以确认问题所在。在反馈给公司之后,公司告诉我们不要轻易测量电滚筒绕组阻值,否则会烧坏绕组。 这让我
2023-12-11 07:20:03

#2023,你的 FPGA 年度关键词是什么? # PWM模块基础设计

由于今天连续多次无法发布该文章,心态真的是崩了,由于基础的PWM比较简单,此次先给大家展示个半成品,完整状态对应的PWM频率、占空比均可调节,对应的模块结构图如下: 对应的基本code如下: modulecreat_PWM ( inputwireclk, //系统时钟为50MHz inputwirerst, inputwirekey_flag1, inputwirekey_flag2, output regPWM ); parameter Frequency_CNT_MAX = 16\'d49_999; //输出PWM为1KHz,1ms=5000*20ns //PWM频率生成计数器模块 reg [15:0] couter; always @(posedge clk or negedge rst) if( rst == 1\'b0 ) couter <= 0; else if( couter == Frequency_CNT_MAX ) couter <= 0; else couter <= couter + 1\'b1; //占空比调节模块 reg [15:0] duty_counter; always @(posedge clk or negedge rst) if( rst == 1\'b0 ) duty_counter <= 16\'d24_999; else if( key_flag1 == 1\'b1 ) duty_counter <= duty_counter + 16\'d49; else if( key_flag2 == 1\'b1 ) duty_counter <= duty_counter - 16\'d49; else duty_counter <= duty_counter; //生成PWM always @(posedge clk or negedge rst) if( rst == 1\'b0 ) PWM <= 1\'b0; else if( duty_counter <= Frequency_CNT_MAX ) PWM <= 1\'b1; else PWM <= 1\'b0; endmodule 由于是第一次在电子发烧友上发文章,体验感觉真的不太友好,希望能够把文章的自动保存功能给加上,否则没有备份真的让人不开心
2023-12-06 21:56:27

#2023,你的 FPGA 年度关键词是什么? #

FPGA 年度关键词,我的想法是“标准化”;今年的工作中遇到了不少同事的issues,本身都是小问题或者很细节的东西但是却反复出现问题,目前想到的最好的办法是做好设计规则的标准化才能避免,不知道大家有没有更好的建议?
2023-12-06 20:31:23

Keysight是德34461A台式数字用表

特点 是德科技34461A数字用表是一款高精度的电子测量仪器。它采用了自动量程切换、自动零点校准、自动数据记录等自动化功能,能够提高测量效率,减少人为误差。该用表具有以下特点
2023-12-06 11:04:33

Fluke 15B MAX经济型数字用表

: Fluke 15B MAX 经济型数字用表Fluke 15B MAX 经济型数字用表是一款支持 Input Alert™ 并标配特尖表笔的首款经济型用表。它的Inp
2023-12-05 15:42:33

Fluke 107 掌上数字用表

Fluke 107 数字用表专为满足您的工作需要而设计!这款数字用表经专门设计,能够实现掌上操作,不受工作场所限制。主要特性设计精巧,外观时尚,掌上尺寸设计,握感舒适,仅重200g,携带方便完备
2023-12-01 14:34:33

用数字用表精确测量小电阻

,由于数字用表的输入阻抗(MΩ级)远大于馈线电阻(Ω级),所以,四线测量法测量小电阻的准确度很高。不过,四线测量中的恒流源电流的精确度非常关键。建议采用外加的更稳定的恒流源电流;应注意的是,外加
2023-11-24 07:37:33

用表精度不够,怎么知道ADC模块测量结果准不准?

用表精度不够,怎么知道ADC模块测量结果准不准
2023-11-09 08:07:14

打卡有好礼!FPGA开发者技术社区每日打卡活动来啦!!

区,大步迈向FPGA新发展新力量! FPGA技术社区每日有奖打卡正式开启,参与每日打卡即可获得开发板福利~ 活动要求: 一、每月指定一个打卡关键词,回帖打卡需加上本月打卡关键词 例如
2023-11-06 18:28:59

AT32上实现关键词语音识别(KWS)

AT32上实现关键词语音识别(KWS)本文基于此开源模型和代码,在AT32 MCU 上对KWS 效果进行展示。
2023-10-26 07:45:24

安捷伦3458A用表34465A

3458A用表 Agilent3458A 八位半产品名称: 用表 3458A品  牌: Agilent产品型号: 3458A产品指标: 八位半产品信息: Agilent 3458A数字
2023-10-17 17:52:17

如何用指针式用表测量电压/电流/电阻?

用指针式用表测量电压电流电阻时的测量步骤怎么测量
2023-10-16 07:51:23

请问怎么用用表测量外设串口是RS232还是TTL?

这个应该怎么用用表测量电平呢
2023-10-15 08:08:18

工程思考:为什么OEM抵触Bootloader更新?

为什么OEM更新某个控制器的Bootloater程序更"痛苦"呢?搞清楚这个问题,就得从OEM的视角去看问题,OEM作为主机厂,生产的每一辆车,其实可以看作成千上万商品的组装。
2023-09-28 16:05:25210

请问如何用用表或者其他仪器检测出磨掉型号的芯片?

就是给一堆芯片把型号磨掉,然后选出cd4511,怎么用用表,或者别的什么仪器测量出来。
2023-09-28 06:49:25

ltc2400的可以高精度用表吗?

这颗芯片可以做几位的的用表
2023-09-27 06:43:40

为什么有的用表点不亮led?

为什么有的用表点不亮led
2023-09-20 07:37:06

keithley吉时利DMM7510数字用表

KEITHLEY DMM7510 图形采样用表 Keithley DMM7510 结合了精密数字用表、图形触摸显示器和高速、高分辨率数字转换器的所有优点,创造了业界第一:图形采样
2023-09-19 11:43:50

KEITHLEY2002吉时利2001数字用表

Keithley 2002数字用表,8.5 位吉时利 2002带 8K 内存的 Keithley 2002 8 1/2 位高性能数字用表不仅提供通常与价格数美元的仪器相关的性能规格,而且还提
2023-09-18 15:25:32

KEITHLEY吉时利2001数字用表

产品概述Keithley 2001 高性能数字用表将卓越的精度(0.0018% 基本)、分辨率和灵敏度与数字用表中罕见的测量和数学功能结合在一起。其内部峰值检测器可以捕获 1 µs 尖峰,例如
2023-09-18 11:29:31

阿里云物联网平台基础概念讲解

产品 设备的集合,通常指一组具有相同功能的设备。物联网平台为每个产品颁发全局唯一的ProductKey。每个产品下可以有成千上万的设备。 设备 归属于某个产品下的具体设备。物联网平台为设备
2023-09-11 07:41:47

半导体制造关键流程中的常见技术难题有哪些

在光刻、晶圆探测、测试、安装以及切割过程中,视觉对位的准确性至关重要。不精准的对位可能导致频繁的人工干预,严重时损坏成千上万块晶圆。性能低下的视觉系统可能让半导体设备公司失去市场份额,并显著增加支持成本。
2023-09-07 09:53:16312

安捷伦34410A六位半用表Agilent34410A台式用表

34411A 是 Agilent 的 6.5 位台式用表。用表是用于测试和测量交流 (AC) 或直流 (DC) 电压、电阻和电流的仪器。用表结合了电压表、电流表和欧姆表。电工使用用表对电池
2023-09-05 09:44:12

安捷伦3458A用表

Agilent / HP 3458A 用表提供极高的速度、极高的精度、前所未有的灵活性、吞吐量和低拥有成本,使其成为满足校准实验室所有测量需求的完美选择和生产车间。Agilent / HP
2023-09-05 09:33:17

STM32Wx助力物互联3.0

STM32Wx 助力物互联3.0内容包括:主流通信技术、STM32 大步迈进无线领域、STM32 完整的MCU和MPU产品组合、2.4GHz 产品系列、Sub1GHz 产品系列等。
2023-09-05 07:45:13

关键词分析(2)#Python数据分析

编程python
未来加油dz发布于 2023-09-02 18:29:02

关键词分析(1)#Python数据分析

编程python
未来加油dz发布于 2023-09-02 18:28:21

安捷伦34401A六位半用表/Agilent 34401A

安捷伦34401A六位半用表Agilent 34401AAgilent 34401A工业准的34401A为基设计而成。它具有更高的精度、更快的测量速度和否量、LA 和 SB连通性,以及更广泛的测量
2023-08-22 17:26:23

第三集 学会使用char short int关键词 - 第1节

数据开发程序网络编程
充八万发布于 2023-08-17 15:26:02

第七集 学会使用define关键词 - 第2节

数据开发程序网络编程
充八万发布于 2023-08-17 15:25:12

第六集 学会使用static关键词 - 第2节

数据开发程序网络编程
充八万发布于 2023-08-17 15:23:31

第六集 学会使用static关键词 - 第1节

数据开发程序网络编程
充八万发布于 2023-08-17 15:22:41

第九集 学会使用struct关键词 - 第2节

数据开发程序网络编程
充八万发布于 2023-08-17 15:21:51

第九集 学会使用struct关键词 - 第1节

数据开发程序网络编程
充八万发布于 2023-08-17 15:21:01

10 第八集 学会使用enum关键词 - 第1节

电路cpu程序函数SPI接口
充八万发布于 2023-08-16 15:28:32

KEITHLEY吉时利DMM7510触高分辨率数字用表

 KEITHLEY吉时利DMM7510触高分辨率数字用表DMM7510是吉时利一款触高精度、高分辨率数字用表。也是一款图形采样用表,能测量超低睡眠模式电流和传输无线设备的漏电
2023-08-14 10:15:55

关于GD32SDK包的功能问题

GD32VF103芯片的SDK包中有没有像STM32包中有这样核心硬件的内存映射 Nuclei Studio中是不是没有查找整个工程的关键词的功能啊,比如搜索一个,不仅仅只是在当前的文件中搜索,可以在整个工程中搜索
2023-08-11 09:25:15

Agilent安捷伦34410A六位半用表

详情Keysight Agilent 34410A 用表,6.5 位Keysight Agilent 34410A 为高性能数字用表,精确、高速和精确触发设计,可提供最大的通用性。 34410A
2023-08-09 11:16:58

Agilent安捷伦34410A高性能数字用表

Agilent 34410A 6位半台式数字用表|安捷伦用表34410A|安捷伦34410A品牌: Agilent(安捷伦) 安捷伦 34410A 是一款高性能数字用表,可提供高速
2023-08-04 16:42:00

中国信通院发布“2023云计算十大关键词

来源:中国信通院7月25日,由中国信息通信研究院、中国通信标准化协会主办的“2023可信云大会”在京召开。中国信息通信研究院云计算与大数据研究所所长何宝宏在会上正式发布“2023云计算十大关键词
2023-07-31 23:44:09429

中国信通院发布“2023云计算十大关键词

来源:中国信通院 7月25日,由中国信息通信研究院、中国通信标准化协会主办的“2023 可信云大会”在京召开。中国信息通信研究院云计算与大数据研究所所长何宝宏在会上正式发布“2023云计算十大关键词
2023-07-27 10:35:01539

用表怎么测试LED压降

用表
学习电子知识发布于 2023-07-18 20:06:15

是不是觉得少个用表!!

用表
学习电子知识发布于 2023-07-18 20:03:25

. 基于关键词的内容可视化#大数据

大数据
未来加油dz发布于 2023-07-18 13:49:10

TinyML变得简单:关键词识别(KWS)

电子发烧友网站提供《TinyML变得简单:关键词识别(KWS).zip》资料免费下载
2023-07-13 10:20:242

普通用表与真有效值用表的区别##硬声创作季

用表
也许吧发布于 2023-07-12 11:47:39

用表的使用方法

用表
YS YYDS发布于 2023-07-07 20:14:27

用表排除故障

用表
YS YYDS发布于 2023-07-07 19:41:09

用表使用方法

用表
YS YYDS发布于 2023-07-07 19:04:46

用表会用了吗

用表
YS YYDS发布于 2023-07-02 18:06:48

用表的使用

用表
YS YYDS发布于 2023-06-30 19:42:15

用表的使用方法

用表
YS YYDS发布于 2023-06-28 16:04:58

两分钟学会用表

用表
YS YYDS发布于 2023-06-27 17:43:07

用表怎么测漏电?#用表#漏电#希望这条视频能帮助到你

用表
学习电子知识发布于 2023-06-26 19:40:37

M451中FMC控制flash读写,数据可否做到写100次?

M451中,FMC控制flash读写,数据可否做到写100次?要用什么机制?有没有例程?
2023-06-25 08:02:41

用表使用方法

用表
YS YYDS发布于 2023-06-23 00:48:59

用表使用方法

用表
YS YYDS发布于 2023-06-20 13:35:51

用表9V充电电池电压降到多少伏时需要充电

请问高手,用表9V充电电池电压降到多少伏时需要充电?谢谢
2023-06-11 19:57:10

超简单,用表的使用的方法

用表
YS YYDS发布于 2023-05-31 21:09:40

是德科技keysight 34470A 用表

附加的功能:分辨率高达 7? 位读取速率高达 50,000 个读数/秒记忆高达 200 个读数电压范围为 100 mV 至 1,000 V电流范围为 1 μA 至 10 AUSB 和 LAN 接口
2023-05-25 16:06:42

泰克Tektronix P6015A无源高压探头

高压探头,是指示波器上用于对AC220V、甚至上伏、上万伏进行波形测量时的探头。一般示波器出厂标配都为低压探头,高压探头需要另配。注意:低压探头测量高压,容易造成示波器的损坏。
2023-05-22 10:27:59

[2.1.1]--用表

用表
jf_75936199发布于 2023-05-15 23:48:45

面向物智联的应用框架的思考和探索(中)

应用框架,是操作系统连接开发者生态,实现用户体验的关键基础设施。其中,开发效率和运行体验是永恒的诉求,业界也在持续不断的发展和演进。 本文重点围绕移动应用框架,梳理其关键发展脉络,并分析其背后的技术
2023-05-05 14:41:38

海光信息发布2022年财报,反映出三个关键词

营收利润双双倍翻的答卷。从财报中,我们也能解读出海光业绩背后的三个关键词关键词一:消化吸收 海光去年研发投入20.67亿元,较上年同期增长 30.42%。高研发投入,为技术消化吸收打下基础。 海光处理器架构授权来自于AMD,为指令集永久授权,因x86交叉授权模式,海光
2023-04-26 09:52:10857

用表的详细使用方法#电工

用表
未来加油dz发布于 2023-04-25 16:00:02

教你用用表查找断点

用表
YS YYDS发布于 2023-04-22 19:05:32

KEITHLEY吉时利2001数字用表

和灵敏度。这款高性能数字用表不仅提供了通常仅与成本高出数美元的仪器相关的性能规格,而且还提供了数字用表通常不具备的广泛功能。为了获得更大的灵活性,后面板上的内置
2023-04-22 10:03:07

安捷伦Agilent34401A数字用表

  安捷伦Agilent34401A数字用表安捷伦数字用表34401A是一种高精度的台式数字用表,它能够提供高达6位半数的测量精度和多种测量功能,包括直流电压、交流电
2023-04-20 17:06:23

Agilent安捷伦34465A用表

、驱动程序、常见问题解答和视频– 通过移动设备监控工作台BenchVue 软件中的数字用表应用可以控制数字用表显示测量结果,记录数据1和对捕获数据进行注释 (包含
2023-04-18 13:55:49

Agilent安捷伦34401A台式用表

34401A 是 Agilent 的 6.5 位台式用表。用表是用于测试和测量交流 (AC) 或直流 (DC) 电压、电阻和电流的仪器。用表结合了电压表、电流表和欧姆表。电工使用用表对电池
2023-04-18 11:37:47

你用过这样的用表吗#电子

用表
未来加油dz发布于 2023-04-16 15:17:03

为什么好多师傅选择红色用表#电子

用表
未来加油dz发布于 2023-04-16 15:16:02

不用换挡的用表#电子

用表
未来加油dz发布于 2023-04-16 15:15:37

这样的用表你用过吗#电子

用表
未来加油dz发布于 2023-04-15 16:34:03

如何将esp32 cam连接到用表?

我想知道是否有可能以某种方式保持连接 esp32-cam-mb 的微型 USB 以加载模块,同时检查用表的消耗量(安培)。我尝试以任何方式连接用表但失败了
2023-04-12 06:28:20

用表测量结果有偏差如何校准?

用表
未来加油dz发布于 2023-04-07 23:12:26

普密斯150像素机器视觉FA镜头

 【产品介绍】 POMEAS这款150像素 2/3"机器视觉FA工业镜头,焦距16.33mm,光圈范围F1.4-C,成像范围 0.30m- ∞。 【产品优势
2023-04-06 11:47:14

基于proteus仿真年历

proteus仿真年历
2023-04-02 23:30:19

三极管的应用实例

三极管是电子设计中常用的元器件,关于三极管功能的介绍,网上可以搜出成千上万的例子。这里我说两个我在工作中碰到的用三极管的实例,都很简单,却很实用。
2023-03-27 14:02:141089

已全部加载完成