电子发烧友App

硬声App

0
  • 聊天消息
  • 系统消息
  • 评论与回复
登录后你可以
  • 下载海量资料
  • 学习在线课程
  • 观看技术视频
  • 写文章/发帖/加入社区
创作中心

完善资料让更多小伙伴认识你,还能领取20积分哦,立即完善>

3天内不再提示

电子发烧友网>可编程逻辑>FPGA/ASIC技术>深入浅出玩转Xilinx Vivado工具实战设计技巧

深入浅出玩转Xilinx Vivado工具实战设计技巧

12下一页全文
收藏

声明:本文内容及配图由入驻作者撰写或者入驻合作网站授权转载。文章观点仅代表作者本人,不代表电子发烧友网立场。文章及其配图仅供工程师学习之用,如有内容侵权或者其他违规问题,请联系本站处理。 举报投诉

评论

查看更多

相关推荐

#深入浅出学习eTs#(一)模拟器/真机环境搭建

本项目的Gitee仓地址: 深入浅出eTs学习: 带大家深入浅出学习eTs (gitee.com)一、下载DevEco到电脑(Windows)本系列所有内容都是基于windows下使用,如需
2022-12-24 13:02:31

#深入浅出学习eTs#(七)判断密码是否正确

本项目Gitee仓地址:深入浅出eTs学习: 带大家深入浅出学习eTs (gitee.com)一、基本界面本项目基于#深入浅出学习eTs#(四)登陆界面UI,继续进行,实现一个判断的功能二、控件介绍
2022-12-29 10:06:33

#深入浅出学习eTs#(三)UI布局

本项目Gitee仓地址:深入浅出eTs学习: 带大家深入浅出学习eTs (gitee.com)一、ArkUI介绍框架介绍方舟开发框架(简称:ArkUI),是一套UI开发框架,提供开发者进行应用UI
2022-12-29 09:59:04

#深入浅出学习eTs#(九)变红码?专属二维码生成

本项目Gitee仓地址:深入浅出eTs学习: 带大家深入浅出学习eTs (gitee.com)一、需求分析我们本章的内容是要制作一个可以随着自己想要内容而变化的一个二维码,通过输入框输入内容,实现
2022-12-29 10:09:51

#深入浅出学习eTs#(二)拖拽式UI

本项目Gitee仓地址:深入浅出eTs学习: 带大家深入浅出学习eTs (gitee.com)一、创建支持Super Visual的工程在这里选择直尺Super Visual的选项,调整当前
2022-12-29 09:56:58

#深入浅出学习eTs#(五)eTs语言初识

本项目Gitee仓地址:深入浅出eTs学习: 带大家深入浅出学习eTs (gitee.com)一、eTs介绍概述基于TS扩展的声明式开发范式的方舟开发框架是一套开发极简、高性能、跨设备应用的UI开发
2022-12-29 10:02:55

#深入浅出学习eTs#(六)编写eTs第一个控件

本项目Gitee仓地址:深入浅出eTs学习: 带大家深入浅出学习eTs (gitee.com)一、控件基本属性在使用第一个控件前,我们需要了解一些控件都有哪些基础属性,比如说我们在Super
2022-12-29 10:05:13

#深入浅出学习eTs#(十一)别忘了吃药喔

本项目Gitee仓地址:深入浅出eTs学习: 带大家深入浅出学习eTs (gitee.com)一、需求分析我们本章节要实现一个闹钟功能,实现闹钟定时,提醒大家吃药(最好不需要吃药喔),功能分析:时间
2022-12-29 13:33:22

#深入浅出学习eTs#(十七)远端模拟器

本项目Gitee仓地址:深入浅出eTs学习: 带大家深入浅出学习eTs (gitee.com)一、需求分析本章节不再以案例做介绍,而是教大家怎么运行一些在预览器里面看不到的东西,选择使用远端模拟器
2022-12-29 13:56:58

#深入浅出学习eTs#(十二)您的电量不足

本项目Gitee仓地址:深入浅出eTs学习: 带大家深入浅出学习eTs (gitee.com)一、需求分析相信大家生活中也经常会遇到上方情况,本章节我们来模拟提示一个电量不足的显示,使用自定义弹窗来
2022-12-29 13:50:18

#深入浅出学习eTs#(十)蓝药丸还是红药丸

本项目Gitee仓地址:深入浅出eTs学习: 带大家深入浅出学习eTs (gitee.com)一、需求分析我们本章的内容选择致敬黑客帝国,如果你处于主角的立场,你会选择蓝药丸还是红药丸呢?本章节来
2022-12-29 10:44:23

#深入浅出学习eTs#(四)登陆界面UI

本项目Gitee仓地址:深入浅出eTs学习: 带大家深入浅出学习eTs (gitee.com)一、明确目标经过前面两章的学习,大家对Super Visual应该有了一个较为简单的认识,这一章就把前面
2022-12-29 10:01:15

2020全网首发《60天FPGA工程师入门就业项目实战特训营》

),Xilinx(资深工程师团队),Blue Pearl(EDA原厂);4、4种开发调试工具深入讲解:Gowin、Vivado、Modesim、Blue Pearl;5、10节Verilog HDL
2020-03-05 14:42:23

2年磨成一剑,《Zigbee深入浅出实战篇》原创超高清视频教程部分,不看别后悔

Zigbee一线在职工程师,2年磨成一剑,《Zigbee深入浅出实战篇》原创超高清视频教程部分,不看别后悔第一讲上 http://www.tudou.com/programs/view
2016-05-04 13:45:28

深入浅出玩转 FPGA-吴厚航

本书收集整理了作者在FPGA学习和实践中的经验点滴。书中既有日常的学习笔记,对一些常用设计技巧和方法进行深入探讨;也有很多生动的实例分析,这些实例大都是以特定的工程项目为依托,具有一定的借鉴价值
2014-12-29 16:57:26

深入浅出玩转FPGA

本帖最后由 eehome 于 2013-1-5 10:00 编辑 很详细的教程
2012-11-17 21:42:26

深入浅出玩转FPGA

深入浅出玩转FPGA
2012-07-21 09:21:08

深入浅出玩转FPGA-读SDRAM代码的一个疑惑

深入浅出玩转FPGA基于EP1C3的进阶实验中,读SDRAM代码的时候碰到下面这段代码:assign sdram_wr_ack = ((work_state == `W_TRCD) &
2013-04-25 16:45:08

深入浅出玩转fpga

有需要的拿走
2017-12-05 14:15:00

深入浅出玩转fpga PDF教程和光盘资源

深入浅出玩转FPGA,作者吴厚航,由北京航空航天大学出版社出版。本书收集整理了作者在FPGA学习和实践中的经验点滴。书中既有日常的学习笔记,对一些常用设计技巧和方法进行深入探讨;也有很多生动的实例
2012-02-27 10:45:37

深入浅出ARM7

深入浅出ARM7
2012-08-18 10:12:54

深入浅出ARM7-LPC213x_214x(上)

深入浅出ARM7-LPC213x_214x(上)
2016-09-23 15:20:45

深入浅出ARM7-LPC213x_214x(下)

深入浅出ARM7-LPC213x_214x(下)
2016-09-23 15:21:12

深入浅出AVR

深入浅出AVR
2016-08-23 10:10:13

深入浅出AVR

深入浅出AVR,一本书。
2012-07-15 12:02:32

深入浅出AVR(傻孩子)

本帖最后由 eehome 于 2013-1-5 09:56 编辑 深入浅出AVR(傻孩子)
2012-06-29 15:43:03

深入浅出AVR单片机(珍藏版)

本帖最后由 zgzzlt 于 2012-8-16 13:36 编辑 深入浅出AVR单片机(珍藏版)
2012-08-09 09:23:29

深入浅出AVR单片机精要

本帖最后由 eehome 于 2013-1-5 09:56 编辑 深入浅出AVR单片机精要
2012-08-20 20:16:52

深入浅出Android

深入浅出Android
2012-08-20 10:14:18

深入浅出Android

深入浅出Android
2013-04-26 10:48:48

深入浅出Cortex-M7——i.MX RT1050

深入浅出Cortex-M7——i.MX RT1050
2018-03-06 08:39:38

深入浅出LabVIEW数据库应用

深入浅出LabVIEW数据库应用
2016-12-08 14:57:20

深入浅出LabVIEW数据库应用

深入浅出LabVIEW数据库应用
2013-08-02 22:26:19

深入浅出LabVIEW数据库应用.pdf

深入浅出LabVIEW数据库应用.pdf》
2015-12-23 23:04:28

深入浅出Linux_设备驱动编程

深入浅出Linux_设备驱动编程
2012-08-16 15:57:07

深入浅出Linux_设备驱动编程

深入浅出Linux_设备驱动编程
2012-08-20 14:58:47

深入浅出STM32系列ARM_Cortex-M3

深入浅出STM32系列ARM_Cortex-M3
2020-05-28 09:18:11

深入浅出avr单片机,深入浅出avr下载

`<p><font face="Verdana">深入浅出AVR单片机思路清晰,以AVR单片机为载体,介绍
2009-08-07 13:40:26

深入浅出多旋翼飞控开发

[深入浅出多旋翼飞控开发]预备篇][一][元器件选型及飞控电路设计]作者:Github因论坛关闭,迁移该文章至博客。要让飞控程序跑起来,首先我们得要有一个硬件实体,也就是我们的飞控板。说实话,飞
2021-07-30 06:43:08

深入浅出安防视频监控系统

深入浅出安防视频监控系统
2012-08-20 10:31:03

深入浅出安防视频监控系统

深入浅出安防视频监控系统深入浅出安防视频监控系统
2014-05-22 19:28:59

深入浅出嵌入式linux系统移植开发

深入浅出嵌入式linux系统移植开发(环境搭建、uboot的移植、嵌入式内核的配置与编译) 课程讲师:韩老师 课程分类:Linux 适合人群:中级 课时数量:56课时 用到技术:嵌入式Linux开发模式 涉及项目:基于tftp烧写系统 课程介绍: 本课程重点...
2021-12-23 07:30:19

深入浅出嵌入式底层软件开发扫描版

深入浅出嵌入式底层软件开发扫描版本资料60m,分4部分
2016-11-05 17:25:02

深入浅出排序学习使用指南

深入浅出排序学习:写给程序员的算法系统开发实践
2019-09-16 11:38:36

深入浅出电源完整性相关资料推荐

转发自EETOP ,侵删[EETOP]深入浅出电源完整性  先看对于图1(a)所示的电源供电系统,等效为理想电压源,RLC元件,负载组成的电路。也可更简单的等效为理想电压源和内阻Z组成的供电回路,当
2021-12-30 06:57:56

深入浅出统计过程控制

深入浅出统计过程控制
2016-09-24 17:19:20

深入浅出讲解FOC算法与SVPWM技术

参考资料:【自制FOC驱动器】深入浅出讲解FOC算法与SVPWM技术FOC入门教程FOC实现过程中主要公式整理永磁电机知多少?1. FOC控制框架图首先给出只含电流环的FOC控制框架图。上图是以电流
2021-08-30 06:22:46

深入浅出谈多层面板布线技巧(1)——双面板

深入浅出谈多层面板布线技巧(1)——双面板深入浅出谈多层面板布线技巧(2)——双层板深入浅出谈多层面板布线技巧(3)——四层板深入浅出谈多层面板布线技巧(4)——四层板
2015-01-15 10:46:54

深入浅出谈多层面板布线技巧(4)——四层板

文字说明;O:综合性检查 结束语:四层板的两个中间层实际上多用做电源层和地层,注意电源、地平面的安排,电源、地就近打过孔与电源、地平面相连。相关链接深入浅出谈多层面板布线技巧(1)——双面板深入浅出
2015-01-15 11:39:12

深入浅出软件加密技术

深入浅出软件加密技术
2012-09-11 12:04:40

深入浅出软件加密技术

深入浅出软件加密技术
2016-09-24 17:18:42

玩转Vivado之Simulation

玩转Vivado之Simulation特权同学,版权所有 1. 可用于Testbench分类管理的Simulation Sets关于Simulation的文件管理,ISE和Vivado的视窗大同小异
2016-01-13 12:04:16

玩转Vivado之Timing Constraints

玩转Vivado之Timing Constraints特权同学,版权所有最近在熟悉Xilinx已经推出好几年的Vivado,虽然特权同学之前已经着手玩过这个新开发工具,但只是简单的玩玩,没有深入
2016-01-11 16:55:48

玩转FPGA,这些资料不要错过!

深入浅出玩转FPGA_吴厚航.pdf2.FPGA开发全攻略(上).pdf3.FPGA开发全攻略(下).pdf4.FPGA应用开发入门与典型实例.pdf5.FPGA权威指南.pdf6.写给小白们的FPGA
2018-08-20 11:10:23

ARM7 深入浅出的学习

深入浅出ARM7 LPC213x_214 学习
2012-12-04 17:28:44

FPGA大牛特权同学全资料下载(包括所有电子书pdf)

链接:http://pan.baidu.com/s/1qFKLo《深入浅出玩转FPGA》视频教程链接:http://pan.baidu.com/s/1mDoPg《深入浅出玩转FPGA》视频教程链接
2017-09-27 19:34:23

HDMI技术深入浅出

HDMI技术深入浅出
2012-08-19 10:52:57

Labview开发技术丛书--深入浅出统计过程控制

Labview开发技术丛书--深入浅出统计过程控制
2016-09-02 18:08:54

Labview开发技术丛书--深入浅出软件加密技术

Labview开发技术丛书--深入浅出软件加密技术
2016-09-02 18:08:25

STM32深入浅出

阶段想尽量减少所接触的东西。不过说实话,对DSP的外设并和开发环境不满意,这是为什么STM32一就转向的原因。下面是我自己做过的两块DSP28的全功能最小系统板,在做这两块板子的过程中发现要想
2017-03-20 11:17:31

[深入浅出嵌入式底层软件开发].唐攀.扫描版

本帖最后由 lee_st 于 2018-3-1 11:59 编辑 [深入浅出嵌入式底层软件开发].唐攀.扫描版(***51.net)
2018-02-28 22:21:39

fpga学习资料

本帖最后由 aiping 于 2019-6-26 15:47 编辑 文档为《深入浅出玩转FPGA》;文件太大,不能直接上传,文档里有下载链接。
2019-06-26 15:36:22

深入浅出玩转51单片机》书已收到喽

` 本帖最后由 yin188103 于 2014-8-22 18:43 编辑 经常逛发烧友论坛,无意中俺就看到了《深入浅出玩转51单片机》抢楼送书活动。本来只是有感而发,说说俺对单片机的感情
2014-08-22 18:41:46

深入浅出玩转51单片机》配套例程下载

本资料是《深入浅出玩转51单片机》配套例程,包含如下内容积分不够?加入VIP特权海量资料免费下载,戳这里立即开通>>VIP通道
2019-08-07 10:19:21

深入浅出玩转51单片机》配套例程(60例)

深入浅出玩转51单片机》全部例程展示!所有源码供下载!
2019-05-13 16:10:23

深入浅出玩转FPGA(第2版)》电子版下载

(900分钟)视频教程北京航空航天大学电子信息工程学院教授 FPGA业内权威专家 夏宇闻老师 作序《EDN China电子设计技术》编辑部 作序第二版 序言 我早就从FPGA学员中耳闻《深入浅出玩转
2017-02-04 17:06:09

【技术经典下载】《深入浅出玩转FPGA》-珍贵的学习经验和笔记

`简介:《深入浅出玩转FPGA》收集整理了作者在FPGA学习和实践中的经验点滴。书中既有日常的学习笔记,对一些常用设计技巧和方法进行深入探讨;也有很多生动的实例分析,这些实例大都是以特定的工程项目为
2017-06-15 17:46:23

关于特权老师《深入浅出玩转FPGA》的疑问

在特权老师深入浅出一书中,实验DIY逻辑分析仪中的一段程序如下:请问:各个字符对应的首地址是怎么来的,还有(9'd8 * 9'd0)代表什么意思?{:4_128:}
2014-04-28 23:16:20

单片机学习资料。深入浅出通俗易懂,用钱买的。

单片机学习资料。深入浅出通俗易懂,用钱买的。
2011-02-04 22:46:58

学习FPGA有哪些书可以推荐一下?

《Verilog数字系统设计教程(第二版)》 夏宇闻《深入浅出玩转FPGA(内附光盘一张)》 吴厚航 这本书有视频教程《Altera FPGA/CPLD设计(基础篇)(第2版)》《Altera
2018-08-21 09:20:19

特权同学 37课时《2020版 深入浅出玩转FPGA视频课程》介绍

在企业中参与FPGA工程师的招聘,发现在市场上要找到水平相对高一点的FPGA人才也实在是难上加难。于是,特权同学决定在《深入浅出玩转FPGA》面世10年的当儿,以一个新的FPGA平台(Xilinx
2020-03-20 09:36:01

特权同学海量fpga资料

/1o6taWKM腾讯链接:https://share.weiyun.com/5NNVqAn图书《FPGA设计实战演练(逻辑篇)》配套例程链接:http://pan.baidu.com/s/1pJ5bCtt《深入浅出玩转FPGA》视频教程链接:http://pan.baidu.com/s/1mDoPg
2018-03-26 16:16:02

给需要的同学-特权同学的《深入浅出玩转FPGA视频学习课程》

分享一个特权同学的《深入浅出玩转FPGA视频学习课程》的视频下载地址,附有课件,下载速度还不错,http://xidong.net/File001/File_67421.html给需要的同学。
2013-12-02 21:44:00

老外写的h264,mpeg编解码非常好的教材,值得推荐,深入浅出

老外写的h264,mpeg编解码非常好的教材,值得推荐,深入浅出
2012-08-04 12:03:32

自学FPGA好书《深入浅出玩转FPGA》

://www.kx-soc.com/)编著,是初学Verilog理论不错的好书。(2)《深入浅出玩转FPGA》吴厚航北京航空航天大学出版工程师项目实战演习的案例分析,更具实践价值。(3)《Verilog 数字系统设计教程》夏宇闻
2012-02-03 11:11:35

跪求《深入浅出玩转FPGA(第2版)》这本书电子版

跪求《深入浅出玩转FPGA(第2版)》这本书电子版
2015-10-08 07:44:27

[CPLD-FPGA]《深入浅出玩转FPGA视频学习课程》35讲全[wmv]

[CPLD-FPGA]《深入浅出玩转FPGA视频学习课程》35讲全[wmv] 附件比较大所以整理了视频迅雷种子。
2013-09-04 14:51:19519

深入浅出玩转FPGA 第二版.part3

FPGA学习资料教程——深入浅出玩转FPGA 第二版,资源过大,共分为4部分上传。感兴趣的小伙伴们可以去我上传的资源里一起下载解压打开。
2016-09-06 16:52:290

深入浅出玩转FPGA 第二版.part1

FPGA学习资料教程——深入浅出玩转FPGA 第二版,资源过大,共分为4部分上传。感兴趣的小伙伴们可以去我上传的资源里一起下载解压打开。
2016-09-06 16:52:290

深入浅出玩转FPGA 第二版.part2

FPGA学习资料教程——深入浅出玩转FPGA 第二版,资源过大,共分为4部分上传。感兴趣的小伙伴们可以去我上传的资源里一起下载解压打开。
2016-09-06 16:52:290

深入浅出玩转FPGA 第二版.part4

FPGA学习资料教程——深入浅出玩转FPGA 第二版,资源过大,共分为4部分上传。感兴趣的小伙伴们可以去我上传的资源里一起下载解压打开。
2016-09-06 16:52:290

深入浅出玩转FPGA》基于EPM240的入门实验-verilo

深入浅出玩转FPGA》基于EPM240的入门实验-verilogiic1121
2016-10-27 18:20:0510

深入浅出玩转FPGA》基于EPM240的入门实验-ps2ver

深入浅出玩转FPGA》基于EPM240的入门实验-ps2verilog
2016-10-27 18:20:058

深入浅出玩转FPGA》基于EPM240的入门实验-myosct

深入浅出玩转FPGA》基于EPM240的入门实验-myosctest
2016-10-27 18:20:055

深入浅出玩转FPGA》基于EPM240的入门实验-uartve

深入浅出玩转FPGA》基于EPM240的入门实验-uartverilog
2016-10-27 18:20:056

深入浅出玩转FPGA》基于EPM240的入门实验-UFTtes

深入浅出玩转FPGA》基于EPM240的入门实验-UFTtest
2016-10-27 18:20:054

深入浅出玩转FPGA》基于EPM240的入门实验-keysca

深入浅出玩转FPGA》基于EPM240的入门实验-keyscanverilog
2016-10-27 18:20:058

深入浅出玩转FPGA》基于EPM240的入门实验-johnso

深入浅出玩转FPGA》基于EPM240的入门实验-johnsonverilog
2016-10-27 18:20:058

深入浅出玩转FPGA》基于EPM240的入门实验-clkdiv

深入浅出玩转FPGA》基于EPM240的入门实验-clkdivverilog
2016-10-27 18:20:054

深入浅出玩转FPGA》基于EPM240的入门实验-models

深入浅出玩转FPGA》基于EPM240的入门实验-modelsim_test
2016-10-27 18:20:054

深入浅出玩转FPGA》基于EPM240的入门实验-mux16

深入浅出玩转FPGA》基于EPM240的入门实验-mux16
2016-10-27 18:20:056

深入浅出玩转FPGA》基于EPM240的入门实验-verilogled7

深入浅出玩转FPGA》基于EPM240的入门实验-verilogled7
2016-10-27 18:20:0518

深入浅出玩转FPGA》光盘资料-项目应用sdram_mdl08

深入浅出玩转FPGA》光盘资料-项目应用sdram_mdl0822
2016-10-27 18:07:5435

深入浅出玩转FPGA》光盘资料-项目应用logic_analy

深入浅出玩转FPGA》光盘资料-项目应用logic_analysis
2016-10-27 18:07:548

深入浅出玩转51单片机》配套例程(60例)

深入浅出玩转51单片机书籍实例
2017-08-01 11:34:35168

Zigbee深入浅出实战资料免费下载

本文档的主要内容详细介绍的是Zigbee深入浅出实战资料免费下载包括了:1、什么是Zigbee?它能干什么用?为什么要引入Zigbee?,2、学习Zigbee需要些什么储备知识,3、入门介绍,4、开发环境搭建。
2019-06-13 08:00:0014

已全部加载完成