电子发烧友App

硬声App

0
  • 聊天消息
  • 系统消息
  • 评论与回复
登录后你可以
  • 下载海量资料
  • 学习在线课程
  • 观看技术视频
  • 写文章/发帖/加入社区
创作中心

完善资料让更多小伙伴认识你,还能领取20积分哦,立即完善>

3天内不再提示

电子发烧友网>可编程逻辑>FPGA/ASIC技术>在ISE启动modelsim时遇到问题与解决

在ISE启动modelsim时遇到问题与解决

收藏

声明:本文内容及配图由入驻作者撰写或者入驻合作网站授权转载。文章观点仅代表作者本人,不代表电子发烧友网立场。文章及其配图仅供工程师学习之用,如有内容侵权或者其他违规问题,请联系本站处理。 举报投诉

评论

查看更多

相关推荐

Xilinx ISE Design Suite 14.2 安装图解

电子发烧友网核心提示 :Xilinx ISE 14.2安装指南,包括Xilinx ISE 14.2软件下载、Xilinx ISE 14.2软件安装、Xilinx ISE 14.2相关产品介绍、Xilinx ISE软件激活、Xilinx ISE14.2软件启动和Xilinx ISE 14.2新建工
2012-10-31 11:59:1361264

ISE 13.1Windows XP上安装无法打开存档

大家好,我安装ise webpack时遇到问题。无法打开存档是错误的。有任何想法吗?我正在使用Windows XP。以上来自于谷歌翻译以下为原文Hello everybody, I have a
2018-11-21 14:28:33

ISE 14.4 Webpack-Spartan3不起作用

我安装了ISE 14.4,并希望使用WebpackSpartan3 xc3s200上进行简单的设计。我总是得到这两个错误错误:安全:9c- 没有'ISE'或'WebPack'功能版本2012.12
2018-12-03 15:48:19

ISE 14.7 XCLM无法启动

启动ISE后,我有一个环境变量,其变量= NO_XILINX_DATA_LICENSE和value = HIDDEN。我有预感它与环境变量有关,但我不知道我需要用环境变量来解决这个问题。我已经
2018-12-28 10:58:03

ISE WebPACK和ModelSim XE对Windows 7 Professional的支持如何?

一般来说,ISE WebPACK和ModelSim XE对Windows 7 Professional的支持如何?文档Software_matrix.pdf不提供有关Windows 7操作系统
2018-11-29 16:14:09

ISE,modelsim和synplify是什么关系?

本帖最后由 hxing 于 2016-3-15 14:01 编辑 ISE,modelsim和synplify是什么关系? 以前我也没搞清楚,看到有网友提问、回复这个问题,就分享一下。网友
2016-03-15 13:40:51

ISE12.4 是否支持modelsim10.1a

本人初学者,不知道哪位大牛能告诉我ISE12.4 是否支持modelsim10.1a?我怎么也编译不了。但是用modelsim10.1a却可以很轻松地编译ISE10.1a。所以就怀疑是不是版本问题。拜谢了!
2012-07-09 20:40:14

ISEModelsim联调

Modelsim建议步骤:每次仿真之前先建立一个文件夹,为了避免仿真过程中出错,我们建议每次启动modelsim之前先修改文件夹的设计路径问题。如何在modelsim中无法对新建立的.V文件
2015-01-27 10:21:14

ISE使用遇到问题

ISE中,如何快速修改模块,比如说需要修改原有模块名称,增加或删除端口,除了重新建立模块外,还有没有比较方便一些的方法?
2013-10-08 09:33:10

ISEModelsim联合仿真_修订版

ISEModelsim联合仿真_修订版
2014-01-26 22:44:31

ISEmodelsim联合仿真的问题

ISEmodelsim联合仿真,每次ISE修改程序后必须把原来打开的modelsim关闭了再重新打开吗?
2017-02-21 20:40:27

ISE是如何调用ModelSim进行仿真

的,尤其是脚本的编写。下面一个脚本是我用ISE10.1建立了一个AES256的工程,然后调用ModelSim6.5的时候,ISE会生成这几个脚本文件,AES256_tb.fdo
2019-06-03 09:11:11

ISE联合Modelsim进行仿真

ISE联合Modelsim进行仿真,里面介绍得非常详细
2018-01-12 15:01:09

Modelsim 仿真出错 “Module 'IBUFG' is not defined”

大家好!我使用Modelsim进行仿真时,从 ISE 启动 Modelsim 仿真是完全正常的,但从 Modelsim 直接建立工程,进行仿真,总是提示“Module 'IBUFG
2015-01-26 23:17:51

Modelsim 仿真问题 “unisim” Does not exist

使用 ISE 软件进行仿真,仿真工具是 Modelsim,提示错误,错误详情如下: > unisim (ERROR: Library path "d:/Xilinx/14.3
2015-01-19 14:12:08

Modelsim是如何运行example_design的?

嗨, 我是这个社区的新手,熟悉FPGA及其语言(VHDL,VERILOG)。我MIG 7系列内存控制器中遇到了一些问题。我为(Artix 7)设计了带有核心工具生成器的MIG(DDR3 SDRAM
2020-05-11 09:11:44

iSE许可证14.2错误消息

嗨, 我们从Xilinx网站下载了ISE 14.2的webpack许可证。实施我们的设计时,我们收到了错误消息没有'xc6vlx240t'功能版本2012.07可用(-5),错误:地图:258-
2018-11-28 15:20:36

ise12.2 与 什么版本的 modelsim 关联?

如题,如果想用modelsim 仿真ise12.2的工程,应该用什么版本的modelsim? 求指教!!
2013-08-26 19:04:37

isemodelsim关联的时候,出现这个错误怎么解决

求解isemodelsim关联的时候,出现这个错误怎么解决
2015-04-12 11:25:01

isemodelsim联合仿真每次修改都要重新启动modelsim

刚开始用modelsimisemodelsim的联合仿真中,一般都是从ise启动modelsim的,但是如果仿真文件有错,或者要修改仿真文件,难道都要先关掉modelsim,然后再在ise中打开modelsim,不能不关闭modelsim直接进行修改仿真文件,然后直接进行仿真吗
2015-11-12 10:11:44

ise的除法器modelsim仿不了?

`大虾们,小女子最近调程序的时候用到了ise ip core的除法器,但是调用modelsim仿真的时候发现木有进行除法啊,单独写了个除法器也还是用不了,这是什么情况呢?(vhdl写的程序哈)`
2013-06-15 11:52:45

ise联合modelsim仿真时出错

ise调用modelsim时出现
2017-01-01 10:29:46

modelsim 加载xilinx库问题

目录\Xilinx10.1\ISE\bin\nt;图2.6、ModelSim命令窗口(ModelSim下方Transcript)中输入:(根据自己需要选择需求)compxlib -s mti_se
2012-05-15 19:02:08

modelsim 打开出现问题(闪退)

出现同样问题就换了modelsim altera 10.3d,但还是没法解决。其它软件正常使用,曾经遇到类似问题的软件有proteus7.9,后来因无法解决装了proteus8.0才正常使用。有哪位同志遇到过类似问题的不???说说你们是什么情况下出现这类问题的望有经验的高手请教帮助`
2016-11-10 10:51:39

modelsim10破解过程遇到难题

7 打开命令提示符输入“set path=D:\Xilinx\14.2\ISE_DS\ISE\bin\nt;D:\modeltech_10.1c\win32”(不要引号),D:\..为你安装ISE
2017-04-16 20:36:41

modelsim仿真

请问一下ISE14.6中编译完modelsim10.0a,在用modelsim进行仿真时会闪退是怎么回事,有什么解决办法?期待热心的你给以指教!
2016-05-19 21:10:18

modelsim仿真遇到的问题

modelsim仿真读取txt文件时,遇到如下错误:Fatal: (vsim-7) Failed to open VHDL file "G:/altera/11.0/quartus
2013-10-30 22:44:45

modelsim仿真出现error(vsim 10000)

在用modelsim进行仿真时,遇到问题:error(vsim-10000):unresolved defparam reference to "altpll_component" in ......,Altera_mf库已编译,哪位大神知道如何解决?谢谢!
2015-03-06 09:39:31

Linux中安装12.2 ISE怎么启动

我已经下载并成功运行.xsetup到Debian lenny但现在我终端输入:/opt/Xilinx/12.2/ISE_DS# source settings32.sh/ bin / ls
2018-12-07 11:09:57

SoftAP模式下使用ESP8266时遇到问题求解

SoftAP 模式下使用 ESP8266 时遇到问题。 我想在 AP 大小上接收 UDP 数据包,并在站大小上发送其他 UDP 数据包(经过一些处理)。 使用在网上找到的示例,我编写了一个
2023-06-02 14:09:46

Zynq上如何启动linux?

大家好,我Zedboard上启动Linux时遇到问题。首先,我尝试启动Zed OOB,以确保它运行良好,毕竟我试图启动我的内置Linux。要启动我需要的linux映像,我刚刚更换了devicetree_ramdisk和myzImage中重命名的mydevicetree,但这就是发生的事情。我错过了什么?多谢你们
2020-05-21 10:32:55

AD 10 遇到问题打不开了,求大神指教

AD 10 遇到问题打不开了,求大神指教
2015-07-09 00:12:49

DSPbuilder遇到的问题matlab——simulink _modelsim

matlab 生成的TCL文件MODELSIM 里仿真没通过,错误提示是有指令不识别,按照网上的说注释掉modelsim 不识别的指令好,但是还是提示有错误,比如说某个变量是不存在的,搞的头好晕啊
2013-08-10 14:22:11

FPGA Quartus ii 13.1 和modelsim10.1d破解遇到的问题(仅供学习交流 并非原创)

前提:今天尝试安装网络上的Quartus13.1 光盘遇到问题,整理一下。仅供学习交流,严禁用于其他用途)1.Quartus ii 13.1问题:Error (119013): Current
2015-07-24 23:21:50

SP605上从BPI FLASH读取时遇到问题怎么解决

嗨,我SP605上从BPI FLASH读取时遇到问题。问题是'flash_wait'信号永远不会被声明,表明数据同步读取后有效,请参阅附件。我可以写入FLASH(使用IMPACT通过回读验证
2020-05-29 14:45:50

Xilinx ISE 12.2 调用 Modelsim 进行行为仿真详解

Xilinx ISE 12.2调用 Modelsim 进行行为仿真详解最近闲来无事,整点东西,以飨各位。第一步:新建工程: File->New Project 创建工程 cnt_for_sim
2012-02-29 10:17:25

Xilinx ISE 12.2 调用Modelsim进行行为仿真详解

本帖最后由 eehome 于 2013-1-5 09:54 编辑 Xilinx ISE 12.2 调用Modelsim进行行为仿真详解
2012-03-05 16:05:08

Xilinx FPGA 仿真环境设置(ISE + Modelsim + Debussy)

;filename_you_want.fsdb"); $fsdbDumpvars;endISE新建ISE工程,选择Modelsim SE仿真器,添加rtl/testbench/model等设计文件ISE左侧进入
2012-03-08 15:27:01

Xilinx FPGA入门连载5:ISEModelsim联合仿真之库编译

`Xilinx FPGA入门连载5:ISEModelsim联合仿真之库编译特权同学,版权所有配套例程和更多资料下载链接:http://pan.baidu.com/s/1jGjAhEm1 操作系统
2015-09-14 12:37:44

Xilinx FPGA入门连载6:ISEModelsim联合仿真之关联设置

`Xilinx FPGA入门连载6:ISEModelsim联合仿真之关联设置特权同学,版权所有配套例程和更多资料下载链接:http://pan.baidu.com/s/1jGjAhEm 1 ISE
2015-09-16 13:06:48

linux下使用modelsim-altera遇到的问题

那个......不知道大家遇到过这个问题没,我安装的是quartusII14.0 web 版,modelsim也是免费版。系统kubuntu14.04。进行gate level
2014-07-30 09:00:02

mega_ramq.exe遇到问题需要关闭,大家有人遇到过这样的问题吗?

调用Quartus ii的IP核时出现的这个问题,每次设置完参数,点击Finish按钮后就会弹框,说mega_ramq.exe遇到问题需要关闭,根本无法成功创建IP核。。。奇怪的是我调用其他IP
2016-01-26 23:03:48

proteus 遇到问题,望大神帮助

proteus遇到问题,望大神帮助
2013-06-06 21:52:06

与Xilinx ISE14.7版本兼容的modelsim应该安装哪个版本

本人使用的是ISE14.7这个版本,现在安装modelsim仿真软件,请问最好是下载哪个版本的啊,求大神支招!非常感谢
2016-10-09 21:47:21

使用ISE调用Modelsim时,出现Unable to automatically find executables f...

各位大神,本人FPGA初学者,使用ISE调用Modelsim时,出现这样的问题Unable to automatically find executables for simulator 'mti_se' from the following paths:,这个该怎么解决哇。
2012-09-13 21:12:34

使用SPI闪存芯片配置我的spartan 6设计时遇到问题

嗨,我使用SPI闪存芯片配置我的spartan 6设计时遇到问题。我看过其他论坛条目(似乎有很多)但仍然没有解决它。我已经包含了一个原理图(这是一个相当大的工作表,但我把它减少到配置的东西)。这是
2019-07-16 13:05:05

关于ISE14.7联合modelsim仿真出现的问题

`小弟最近在使用ISE14.7和modelsim联合仿真的时候出现了一些问题,百度很久也不能解决,特来论坛求助各位大哥!!!!真心求助!!!!具体问题就是启动仿真的时候ISE14.7会出现一个警告
2017-09-14 23:07:53

关于Modelsim仿真ise中fatalerror

ise没啥问题,,,用modelsim仿真就这样了,哪个哥哥能交下感谢
2018-11-27 11:36:26

关于ip内核的modelsim仿真遇到问题

使用ISE软件里面cordic算法ip核,想产生一个正弦波。modelsim仿真波形时,仿真结果如下图1,我想找到波形链接的点,我的tb程序里面是module cordic_tb
2015-05-28 20:54:41

初学者,学习28335遇到问题,求解各位前辈

在装CCS3.3的时候,会遇到这样的对话框,如果忽略u安装的话,安装第一个补丁的时候就会遇到问题,以至于最后总无法安装、
2014-09-23 16:04:38

哪里可以获得完整的Modelsim Unisim库

我正在尝试为Modelsim PE编译Unisim库。我现在只组件包之后帮我编译我的项目VHDL。我找到了vhdl源文件:d:\赛灵思\ 14.1 \ ISE_DS \ ISE \ VHDL
2019-02-14 09:04:49

如何在ISE环境中使用ModelSim仿真

如何在ISE环境中使用ModelSim仿真
2012-08-20 18:45:23

学习中遇到问题可以在这里发帖请教吗

学习中遇到问题可以在这里发帖请教吗?会不会有及时准确的答案?因为有的问题还比较难描述,不方便用百度搜索。大家遇到问题怎么处理?
2014-09-25 20:57:47

安装ISE 13.1加载程序进入步骤时遇到问题

我的计算机上安装ISE 13.1的过程中,当软件安装加载程序进入步骤时,我会遇到一些问题,如下图所示.Mylaptopmodel isLenvoY460。当我将光标移动到框中时“我接受并同意上述
2018-12-07 11:22:48

对版图用Calibre进行PEX时遇到问题,请问该如何去解决?

对版图用Calibre进行PEX时遇到问题,请问该如何去解决?
2021-06-22 07:18:45

怎么modelsim6.5中模拟Spartan3AN的项目

你好尝试modelsim6.5中模拟Spartan3AN的项目。使用ISE11编译unisim库,然后直接使用spi_access原语或spi_access原语模拟我的主实体时出现以下错误
2019-05-20 13:21:38

无法VMware下启动ISE 13.4

你好,我VMware下安装了ISE Webpack 13.4(现在已经4次)。安装完成后我启动ISE,然后尝试创建一个“新项目”。但我总是得到:“无法创建临时项目”并在“OK”之后:“Xilinx
2018-12-04 11:03:11

无法新的Windows 7安装上启动ISE 14.4设计套件

我刚刚删除/重新安装了两次,我遇到了同样的问题。一切似乎安装正确。我甚至重新安装了7-Zip,但同样的事情发生了。当我运行“ISE Design Suite 14.4”(点击桌面图标)时,我会在几分
2018-11-29 16:13:15

无法非管理员窗口帐户上启动xilinx ISE

。如何让项目导航器我的帐户上运行?以下是更详细的步骤:1)从我的个人帐户启动ISE安装,该帐户没有管理员权限2)windows 10“弹出”:您需要管理员权限才能安装它,并提供管理员用户名和密码。我
2019-01-07 10:58:02

ISE14.6和modelsim10.1a的联调方法???

ISE14.6和modelsim10.1a的联调方法???
2014-10-11 12:22:31

求教关于 modelsim 修改源代码后重新加载波形(Re_launch)的问题。

各位前辈,各位大神、各位哥哥姐姐、帅哥靓女们:遇到问题ISE调用modelsim仿真时,生成wave界面后,如果我想修改源代码, 比如将激励代码中的某些变量赋值修改,然后再重新导入modelsim
2017-06-09 11:50:10

ISE关联Modelsim关联仿真后,对源文件修改怎样快速仿真?

现在做仿真,每次ISE上编辑代码然后直接launch关联的Modelsim进行仿真,稍微做一点修改保存后就需要关掉Modelsim,再从新从ISE上重新luanch仿真。这样又重新得填写信号,改
2017-08-28 21:06:27

请问modelsim怎么编译ISE的IP核

没用过ISE,不知道生成的IP核文件夹中 ,哪些是需要添加到modelsim中用于仿真的文件 。拿ram举例,仿真库文件还应该添加哪些内容。希望大神们指导下 多谢
2018-12-18 17:58:32

DS1215系列幻像实时时钟产品性能介绍以及对应用中遇到问题

DS1215系列幻像实时时钟产品性能介绍以及对应用中遇到问题的解答
2009-05-19 15:52:2119

ModelSim,synplify,ISE后仿真流程

我把我用到的软件说明一下。如果你发现根据我的操作,你还是解决不了ModelSim后仿真的问题,那就可能是软件版本的问题。
2009-07-22 15:46:270

FPGA CPLD设计工具——Xilinx ISE使用

FPGACPLD设计工具——Xilinx ISE使用详解的主要内容:第1章 ISE系统简介第2章 工程管理器与设计输入工具第3章 ModelSim仿真工具第4章 ISE中集成的综合工具第5章 约束第6章
2009-07-24 16:06:58197

FPGA设计开发软件ISE使用技巧

FPGA设计开发软件ISE使用技巧 本章目标熟悉 ISE 软件的安装与启动掌握 ISE 下FPGA的设计流程掌握 ISE 下创建工程的方式掌握 ISE 下如何编译和仿真掌
2010-02-09 09:32:29121

基于ISEmodelsim的后仿真方法

我想很多人跟我一样,被ModelSim的后仿真搞的头晕脑胀。为了这个问题,我在网上找了很多的资料,但发现这些资料往往说的不明白。一些步骤被作者有意无意地省略掉,这常常给读
2010-03-31 09:46:36112

ModelSim使用教程

ModelSim使用教程 ModelSim OEM入门教程
2010-04-14 11:35:040

Explorer.exe遇到问题需要关闭处理技巧分析

Explorer.exe遇到问题需要关闭处理技巧分析 1. 软件问题(特别是右键第三方加载项)  安装清华紫光输入法3.0版本有的时候会出现explorer
2010-02-24 11:35:08803

1 ISEModelSim安装与配置#FPGA #硬声创作季

安装SimModelSimISEModelModels
学习硬声知识发布于 2022-11-04 11:46:15

XILINX FPGA CPLD设计_ISE快速入门

本教程主要是向ISE的初学者描述和演示, 在XILINX的ISE集成软件环境中如何用VHDL和原理图的方式进行设计输入如何用 ModelSim 仿真工具对设计进行功能仿真和时序仿真如何实现设计.
2011-11-01 14:44:070

MODELSIM仿真(适合xilinx ISE)

基于Xilinx ISEmodelsim仿真教程
2015-11-30 15:52:568

新手学习FPGA之Xilinx篇如何将ISEModelSim关联

最近在学习FPGA,使用ModelSimISE进行仿真,首先要将ISEModelSim进行有效的关联,折腾了一天终于弄懂了如何将他们有效的关联在一起。 (1)首先要安装ModelSim,注意
2017-02-09 01:34:111385

关于把ISE中的FSM直接变为状态转移图的分析和介绍

=OTHERmessage... ),使得我们在设计FSM时需要手动编写HDL代码。那如何快速把HDL代码转换为图形化的FSM状态转移图呢?利用ISEModelSim配合就行了。
2019-10-06 15:47:003426

ISE启动ModelSim遇到的问题及解决办法

从Windows的Start Menu开始,Xilinx ISE Design Suite 13.4 —〉EDK —〉Tools —〉Compile Simulation Libraries
2017-02-09 15:01:114256

modelsim中的两个操作:do wave.do 和combine signals

do wave.do 在ISE中联合使用modelsim中仿真时,经常要修改了ISE里面的程序然后重新仿真,重新加载程序可以使用do
2017-02-10 15:17:072369

ISE联合modelsim功能仿真和综合后仿真

1、代码输入(1)、新建一个ISE工程,名字为count4。(2)、新建一个verilog文件
2017-02-10 15:48:095067

ISE13.1调用Modelsim10.0出现的一点小问题及解决过程

在System Generator做了点仿真,验证成功之后,自动生成了testbench文件,然后在ISE中打开生成的工程,调用Modelsim选择behavior仿真......
2017-02-11 11:02:021911

减少Xilinx IseModelsim联合仿真的错误方法

我们经常使用Xilinx IseModelsim联合仿真,但是经常出现一些由于库没有编译而出现的错误!下面是我总结的方法:
2017-02-11 13:43:061348

Xilinx ISE是如何调用ModelSim进行仿真的

在我们用ModelSim仿真的时候经常是修改一点一点修改代码,这样会造成一个无奈的操作循环:修改代码--->编译代码--->仿真设置--->进入仿真页面--->添加需要观察的波形--->运行仿真
2017-02-11 15:25:0710139

485隔离模块应用遇到问题怎么解决?

在使用总线通讯模块时,工程师常常会遇到产品失效的情况,无法找到对应的解决方案。
2023-09-14 17:28:24872

已全部加载完成