电子发烧友App

硬声App

0
  • 聊天消息
  • 系统消息
  • 评论与回复
登录后你可以
  • 下载海量资料
  • 学习在线课程
  • 观看技术视频
  • 写文章/发帖/加入社区
创作中心

完善资料让更多小伙伴认识你,还能领取20积分哦,立即完善>

3天内不再提示

电子发烧友网>可编程逻辑>FPGA/ASIC技术>FPGA开发与学习连载4

FPGA开发与学习连载4

收藏

声明:本文内容及配图由入驻作者撰写或者入驻合作网站授权转载。文章观点仅代表作者本人,不代表电子发烧友网立场。文章及其配图仅供工程师学习之用,如有内容侵权或者其他违规问题,请联系本站处理。 举报投诉

评论

查看更多

相关推荐

(转)FPGA——如何学习FPGA

FPGA程序能够从flash启动,表明FPGA的最简单设计你已经成功,可以到下一步。FPGA学习步骤4、设计稍微复杂的代码,下载到目标板看看结果。可以设计一个UART程序,网上有参考,你要懂RS232协议
2019-07-03 09:32:06

FPGA学习+心得

很好的学习视频,现在做fpga开发,从中学习了很多有用的知识
2016-07-06 08:20:38

FPGA学习开发板的那些事

“我想学FPGA?怎么入门呢”“是不是需要买开发板,买了就可以上手吗”“那个开发板比较好?”......图1 学习FPGA的问题自MDY创建答疑群以来,小伙伴的这些疑惑问题以非常高频的方式出现在群里
2020-12-15 16:41:39

FPGA学习手记 FPGA入门及建立FPGA开发环境

,但毕竟大学课程都是些理论与皮毛,大可不必当真。此后将目光投向ARM,并一直在学习或参与基于各种MCU的设计,学习CPLD/FPGA的设想就一直仅作为设想而搁置一旁。后来临末之时,突然又起了兴趣。这一
2011-07-29 11:18:16

FPGA图像视频套件开发指南Ver2.01

特权VIP FPGA图像视频套件开发指南Ver2.01 (by特权同学)Xilinx FPGA入门连载 特权VIP FPGA图像视频套件开发指南Ver2.01 (by特权同学).pdf (5.52 MB )
2019-03-22 06:35:15

FPGA大神帮你解决开发过程的问题

的。除此之外,FPGA与传统的开发是有很大区别的,FPGA开发的是硬件,需要对底层有深刻的了解,学习的过程也是很困难的,在这里分享几点我的学习经验。1、对于任何一门学问,并不是您有了所有知识储备才开始,而是
2019-10-14 10:08:35

FPGA应用开发实战技巧精粹

#电子书连载#《FPGA应用开发实战技巧精粹》第6章 Synplify综合工具使用技巧, 综合工具将 HDL 描述的语句转换为布局布线工具可以识别的网表格式(EDF格式) ,在 FPGA 的设计中非
2013-08-05 11:10:14

FPGA怎么学?学习FPGA需要了解什么?

第一步:学习了解FPGA结构,FPGA到底是什么东西,芯片里面有什么,不要开始就拿个开发板照着别人的东西去编程。很多开发板的程序写的很烂,我也做过一段时间的开发板设计,我觉得很大程度上,开发板在
2018-08-20 09:48:44

FPGA技术的学习方法

学习方法,都将事半功倍。学习的过程为理解基础概念、结合实践应用、掌握方法融会贯通,最终达到独立开发的能力。本期将聚焦于解答基础技术问题、提供学习工具和方法。本期互动提问范围:1、FPGA设计流程
2017-01-11 13:58:34

FPGA是什么?FPGA现状?如何学习FPGA

之前我们往往从它的编程语言开始,如同学习单片机一样,我们从C语言开始入门,当掌握了C语言之后,开发单片机应用程序也就不是什么难事了。学习FPGA也是如此,FPGA的编程语言有两种:VHDL
2009-06-16 16:32:20

学习FPGA开发板有哪几种方法

学习FPGA开发板有哪几种方法?有哪些具体的步骤?
2021-08-06 06:54:55

Banana Pi BPI-F2S IC设计与FPGA教育学习开发套装

IC设计与FPGA教育学习开发套装香蕉派BPI-F2S 是 香蕉派团队 and 凌阳科技首次合作开发的一款工业级应用的开发板, 使用SP7021芯片设计.具有高性能,低功耗的特点; 内嵌 Linux
2020-06-01 14:06:56

STM32F401CCU6开发

STM32F401CCU6 411CEU6开发板 32F4核心小系统板 学习
2023-04-04 11:05:04

STM32F407VET6开发

STM32F407VET6开发板工控学习板带485 双CAN 以太网 物联网 STM32
2023-04-04 11:05:03

Xilinx FPGA入门连载79:LCD基本驱动实例

Xilinx FPGA入门连载79:LCD基本驱动实例特权同学,版权所有配套例程和更多资料下载链接:http://pan.baidu.com/s/1jGjAhEm 1 功能简介如图所示,本实例除了
2016-06-06 11:00:26

Xilinx Artix-7 FPGA快速入门、技巧与实例连载1——技术之路,全速漂移

FPGA器件的硬件开发学习平台。理论实践相结合的方式,是已经被验证学习FPGA最佳的途径。总而言之,通过这本书(连载),还有我们提供的一些学习资源,衷心的期望能够帮助大家搞定FPGA这门技术。更多资料共享链接:https://share.weiyun.com/53UnQas`
2019-03-02 19:37:42

Xilinx Artix-7 FPGA快速入门、技巧与实例连载7——FPGA开发技能

Xilinx Artix-7 FPGA快速入门、技巧与实例连载7——FPGA开发技能更多资料共享链接:https://share.weiyun.com/53UnQas在FPGA技术的应用领域不断扩展
2019-04-10 14:58:20

Xilinx Artix-7 FPGA快速入门、技巧与实例连载8——FPGA进阶之路

`Xilinx Artix-7 FPGA快速入门、技巧与实例连载8——FPGA进阶之路更多资料共享链接:https://share.weiyun.com/53UnQasFPGA工程师的成长需要经历
2019-04-10 15:18:08

Xilinx Artix-7 FPGA快速入门、技巧与实例连载4——FPGA的优势

``Xilinx Artix-7 FPGA快速入门、技巧与实例连载4——FPGA的优势更多资料共享链接:https://share.weiyun.com/53UnQas若要准确评估FPGA技术能否
2019-03-12 18:08:38

stm32 uart硬件实现及深入探讨(单片机通信学习连载4

通信学习连载3)第二期:spi通信讲解(单片机通信学习连载2)第一期:串口通信讲解(单片机通信学习连载1)
2021-06-29 11:10:49

FPGA开发者项目连载】一次开发经验浅谈

开发板,是块迷你的小板子,做工非常的精细,很漂亮。我原本是计划用高云的小板子做一个基于FPGA的数码相机。因为我一直在学习图像处理方面的东西,但最终不得不放弃原本的方案,因为一块核心板所兼容的资源较少
2021-05-12 19:41:59

连载】阿东带你学习Verilog和Qsys设计

本帖最后由 100dongdong 于 2014-7-24 23:42 编辑 【目的】 阿东推出《【连载】阿东带你学习Verilog和Qsys设计》 连载,为了帮助初学者深入掌握FPGA
2013-12-28 20:42:09

连载视频教程(一)】小梅哥FPGA设计思想与验证方法视频教程之科学的开发流程

大家好,从今天起,小梅哥将正式连载本人精心录制和编辑的FPGA学习系列教程——《小梅哥FPGA设计思想与验证方法视频教程》。教程充分考虑0基础朋友的实际情况,手把手带领学习者分析思路、编写代码
2015-09-19 16:23:18

连载视频教程(七)】小梅哥FPGA设计思想与验证方法视频教程之例说状态机

本帖最后由 小梅哥 于 2016-1-18 12:55 编辑 大家好,今天,小梅哥继续连载本人精心录制和编辑的FPGA学习系列教程——《小梅哥FPGA设计思想与验证方法视频教程》。教程充分考虑
2015-09-25 12:26:01

连载视频教程(三)】小梅哥FPGA设计思想与验证方法视频教程之二进制计数器设计验证

本帖最后由 小梅哥 于 2016-1-18 12:49 编辑 大家好,今天,小梅哥继续连载本人精心录制和编辑的FPGA学习系列教程——《小梅哥FPGA设计思想与验证方法视频教程》。教程充分考虑
2015-09-21 10:28:42

连载视频教程(二)】小梅哥FPGA设计思想与验证方法视频教程之3-8译码器设计验证

本帖最后由 小梅哥 于 2016-1-18 12:49 编辑 大家好,今天,小梅哥继续连载本人精心录制和编辑的FPGA学习系列教程——《小梅哥FPGA设计思想与验证方法视频教程》。教程充分考虑
2015-09-21 10:02:47

连载视频教程(二十)】小梅哥FPGA设计思想与验证方法视频教程之HT6221红外遥控解码

` 本帖最后由 小梅哥 于 2016-1-18 13:02 编辑 大家好,今天,小梅哥继续连载本人精心录制和编辑的FPGA学习系列教程——《小梅哥FPGA设计思想与验证方法视频教程
2015-12-28 17:11:57

连载视频教程(六)】小梅哥FPGA设计思想与验证方法视频教程之例解阻塞赋值与非阻塞赋值

本帖最后由 小梅哥 于 2016-1-18 12:51 编辑 大家好,今天,小梅哥继续连载本人精心录制和编辑的FPGA学习系列教程——《小梅哥FPGA设计思想与验证方法视频教程》。教程充分考虑
2015-09-24 14:02:15

连载视频教程(十七)】小梅哥FPGA设计思想与验证方法视频教程之使用PLL进行设计+Verilog参数化设计介绍

本帖最后由 小梅哥 于 2016-1-18 13:00 编辑 大家好,今天,小梅哥继续连载本人精心录制和编辑的FPGA学习系列教程——《小梅哥FPGA设计思想与验证方法视频教程》。教程充分考虑
2015-11-11 09:15:48

连载视频教程(十三)】小梅哥FPGA设计思想与验证方法视频教程之嵌入式块RAM应用之双口RAM

` 本帖最后由 小梅哥 于 2016-1-18 12:58 编辑 大家好,今天,小梅哥继续连载本人精心录制和编辑的FPGA学习系列教程——《小梅哥FPGA设计思想与验证方法视频教程
2015-10-23 12:47:16

连载视频教程(十九)】小梅哥FPGA设计思想与验证方法视频教程之基于线性序列机设计思想的串行ADC驱动

` 本帖最后由 小梅哥 于 2016-1-18 13:02 编辑 大家好,今天,小梅哥继续连载本人精心录制和编辑的FPGA学习系列教程——《小梅哥FPGA设计思想与验证方法视频教程
2015-12-28 16:57:49

连载视频教程(十二)】小梅哥FPGA设计思想与验证方法视频教程之UART串口接收模块设计与验证

本帖最后由 小梅哥 于 2016-1-18 12:57 编辑 大家好,今天,小梅哥继续连载本人精心录制和编辑的FPGA学习系列教程——《小梅哥FPGA设计思想与验证方法视频教程》。教程充分考虑
2015-10-13 14:45:31

连载视频教程(十八)】小梅哥FPGA设计思想与验证方法视频教程之基于线性序列机设计思想的串行DAC(TLC5620)驱动

` 本帖最后由 小梅哥 于 2016-1-18 13:01 编辑 大家好,今天,小梅哥继续连载本人精心录制和编辑的FPGA学习系列教程——《小梅哥FPGA设计思想与验证方法视频教程
2015-12-16 09:11:00

连载视频教程(十六)】小梅哥FPGA设计思想与验证方法视频教程之FIFO介绍与时序验证

本帖最后由 小梅哥 于 2016-1-18 13:00 编辑 大家好,今天,小梅哥继续连载本人精心录制和编辑的FPGA学习系列教程——《小梅哥FPGA设计思想与验证方法视频教程》。教程充分考虑
2015-11-03 09:50:30

连载视频教程(十四)】小梅哥FPGA设计思想与验证方法视频教程之1搭建串口收发与存取双口RAM简易应用系统

/jishu_514914_1_1.html05 芯航线FPGA学习平台系统调试:https://bbs.elecfans.com/jishu_515169_1_1.html06【连载视频教程(一)】科学的开发流程
2015-10-23 13:01:50

【EG4S20-MINI-DEV 试用体验】FPGA新手学习开发

运气不错,申请通过啦~~~板子大概三天之后到手, 开始测试EG4S20-MINI-DEV FPGA开发板专为安路EAGLE系列EG4S20BG256芯片设计,为客户做芯片评估、提供参考设计。开发
2019-06-24 16:34:20

【MYMINIEYE Runber蜂鸟开发版免费试用连载】正常学习开发

项目名称:正常学习开发试用计划:我是一名硬件工程师,前段时间也设计开发了几块FPGA开发版,刚刚好看到这一块开发版所以希望申请来进行互相学习以及测试。
2020-07-16 10:17:57

【专辑精选】嵌入式FPGA开发学习书籍与资料汇总

—乒乓球游戏FPGA基础应用实例2—LED显示FPGA基础应用实例1—音乐盒视频教学资料:FPGA软件无线电开发(全阶教程+开发板+实例)NI FPGA基础学习视频(基于cRIO)基于FPGA SDRAM
2019-05-17 18:19:21

【小编推荐】小梅哥FPGA视频教程汇总贴!

`小梅哥FPGA设计思想与验证方法视频教程全集播放地址:http://t.elecfans.com/452.html1.【连载视频教程(一)】小梅哥FPGA设计思想与验证方法视频教程之科学的开发流程
2016-01-19 11:07:37

【星核计划】FPGA大赛获奖名单公布

一种优秀的能力呢!希望你能在以后的FPGA学习中更加深入FPGA底层的理解,并且保持严谨的态度,在这条道路上越走越宽。【FPGA开发者项目连载】Core完成但SoC未完成的半成品RV32I项目点评:这是
2021-05-26 14:04:40

【芯航线FPGA学习平台众筹进度帖】芯航线FPGA学习套件下载器驱动安装说明

FPGA学习平台系统调试:https://bbs.elecfans.com/jishu_515169_1_1.html06【连载视频教程(一)】科学的开发流程:https
2015-11-12 18:24:02

【芯航线FPGA学习平台众筹进度帖】芯航线FPGA开发平台设计初衷

多,因此也就需要更多的工具来配合完成。因此学习FPGA,第一个困难就是工具的使用。为了开发FPGA,各个厂家都提供了其专用的开发工具,例如Altera的Quartus II软件,Xilinx的ISE
2015-09-14 21:27:43

【芯航线FPGA学习平台教程资料汇总帖】每日更新(16年4月9日已更新)

好的,记得回来帮忙顶个帖哦开发板相关问题专区 01 芯航线FPGA开发平台设计初衷 02 芯航线FPGA学习平台介绍03 芯航线FPGA学习平台焊接记录04 芯航线FPGA学习平台系统调试05 芯航线
2015-09-16 20:33:30

【锆石A4 FPGA申请】基于fpga的简易示波器

技术应用到测试机,这个我重点研究下,并分享学习心得。3、学习研究ad、da的使用方法,为后面的示波器开发打好基础。4、设计开发基于fpga的简易示波器,并分享开发心得。
2016-08-29 15:40:18

【锆石A4 FPGA申请】基于锆石A4 FPGA开发板的逻辑电路模拟与检测装置

项目名称:基于锆石A4 FPGA开发板的逻辑电路模拟与检测装置试用计划:试用计划:1.收集相关资料构建开发环境2.掌握程序的编译与下载方法3.验证例程并学习其设计方法4.利用开发板实现典型的逻辑芯片
2017-07-25 10:47:04

【锆石A4 FPGA申请】锆石 A4 FPGA VR体感势能交互仪

项目名称:锆石 A4 FPGAVR体感势能交互仪试用计划:申请理由本人在嵌入式开发领域有四年多的学习开发经验,曾设计过类似普通儿童座椅的智能儿童座椅,参与省内开源智能车的开发,对计算机嵌入式技术
2017-07-25 16:02:03

【锆石A4 FPGA试用体验】初识锆石A4 FPGA开发

有着不凡的气质,参见图1所示。图1锆石A4 FPGA开发板套件从整个套件的组成便可看出厂家的专业品质,它不但硬件齐备,且配备了与硬件资源同样重要的软件资源,极大地方便了用户的学习开发。再看我们的主角
2017-08-03 11:12:24

【锆石A4 FPGA试用体验】锆石A4 FPGA弹奏世界名曲

本帖最后由 超级开发板 于 2017-8-1 15:39 编辑 锆石A4 FPGA不仅可以用来学习开发,还能用来娱乐,由于FPGA可编程电路的特性,它能变成任意一种电子芯片,变成电子钢琴当然
2017-08-01 15:27:19

【锆石A4 FPGA试用体验】锆石科技-A4 FPGA开发板开箱鉴赏

学习Altera FPGA的利器,最具代表性的产品便是锆石科技-A4 FPGA开发板了,可谓是家喻户晓,随便找个人问问都能看见锆石的身影,不仅资料齐全,而且硬件方面设计得极为优秀,扩展接口与外围设备丰富
2017-07-29 16:34:56

【锆石A4 FPGA试用体验】锆石科技-A4 FPGA开发板开箱鉴赏-您将得到的是一门技术

学习Altera FPGA的利器,最具代表性的产品便是锆石科技-A4 FPGA开发板了,可谓是家喻户晓,随便找个人问问都能看见锆石的身影,不仅资料齐全,而且硬件方面设计得极为优秀,扩展接口与外围设备丰富
2017-07-29 16:33:37

【黑金原创教程连载FPGA那些事儿-驱动篇I,每周更新

从今天开始,我们将连载FPGA那些事儿-驱动篇I》,每周更新一次。 这篇连载文档,我们已经发布了三篇 【黑金原创教程】【FPGA那些事儿-驱动篇I 】【实验一】流水灯模块【黑金原创教程】【FPGA
2014-06-09 13:58:05

为什么要学习FPGA?如何学习FPGA

什么是FPGA?为什么要学习FPGA?如何学习FPGA
2021-04-13 06:27:12

从0学习FPGA

小梅哥已正式连载精心录制和编辑的FPGA学习系列教程。支持小梅哥FPGA设计思想与验证方法视频教程之科学的开发流程https://bbs.elecfans.com/jishu_515340_1_1.html视频:http://z.elecfans.com/1?ck=snow
2016-01-21 10:42:30

传授新手如何学习FPGA?

结果和答案的痛苦历程,回想起自己学习FPGA的经历,从开始的茫然,到后来的疯狂看书,购买开发板,在开发板上练习各种FPGA实例,到毕业工作后用一直FPGA做产品,独立完成项目,一路走来,感受颇多。发觉
2014-11-11 11:28:08

例说FPGA连载5:FPGA的优势与局限性

`例说FPGA连载5:FPGA的优势与局限性特权同学,版权所有配套例程和更多资料下载链接:http://pan.baidu.com/s/1c0nf6Qc 若要准确评估FPGA技术能否满足开发
2016-06-29 09:37:38

例说FPGA连载6:FPGA开发所需的技能

`例说FPGA连载6:FPGA开发所需的技能特权同学,版权所有配套例程和更多资料下载链接:http://pan.baidu.com/s/1c0nf6Qc 前面的文字已经做了很多铺垫,相信读者在初学
2016-07-03 10:40:58

例说FPGA连载7:FPGA应用领域

``例说FPGA连载7:FPGA应用领域特权同学,版权所有配套例程和更多资料下载链接:http://pan.baidu.com/s/1c0nf6Qc FPGA目前虽然还受制于较高的开发门槛以及器件
2016-07-11 06:47:38

例说FPGA连载8:FPGA开发流程

例说FPGA连载8:FPGA开发流程特权同学,版权所有配套例程和更多资料下载链接:http://pan.baidu.com/s/1c0nf6Qc 如图1.9所示。这个流程图是一个相对
2016-07-13 17:25:34

例说FPGA连载9:FPGA板级电路设计五要素

不同,FPGA器件的学习仅靠一台PC机是不够的。对于任何一个学习者而言,能够拥有一块板载FPGA器件的电路板平台是非常必要的。当然了,前期的开发调试肯定也还是离不开PC机。和基于PC机的软件编程(如在
2016-07-18 16:24:54

例说FPGA连载4FPGA语言与厂商介绍

`例说FPGA连载4FPGA语言与厂商介绍特权同学,版权所有配套例程和更多资料下载链接:http://pan.baidu.com/s/1c0nf6Qc Verilog与VHDL说到FPGA,我们
2016-06-27 17:00:34

关于FPGA学习的求助

本人大三学生一个,想学习一些FPGA,网上看了一下开发板,价格有高有低,不是很懂,想问各位大神,有必要买FPGA开发板来学习吗,有没有人推荐一些比较适合初学者学习开发板呢,谢谢!
2013-12-14 13:50:42

勇敢的芯伴你玩转Altera FPGA连载19:4X4矩阵按键电路

`勇敢的芯伴你玩转Altera FPGA连载19:4X4矩阵按键电路特权同学,版权所有配套例程和更多资料下载链接:http://pan.baidu.com/s/1i5LMUUD FPGA4X4
2017-11-03 18:46:36

勇敢的芯伴你玩转Altera FPGA连载51:Altera FPGA配置方式概述

中;而JTAG模式则既能将代码下载到FPGA中直接在线运行(速度快,调试时优选),也能够通过FPGA将bit流下载到配置芯片中。由于JTAG方式灵活多用,所以我们的SF-CY4开发板就只预留了JTAG接口。 ```
2018-03-04 22:12:49

勇敢的芯伴你玩转Altera FPGA连载8:FPGA开发流程

`勇敢的芯伴你玩转Altera FPGA连载8:FPGA开发流程特权同学,版权所有配套例程和更多资料下载链接:http://pan.baidu.com/s/1i5LMUUD 如图1.9所示。这个
2017-10-12 21:02:44

勇敢的芯伴你玩转Altera FPGA连载9:板级电路整体架构

勇敢的芯伴你玩转Altera FPGA连载9:板级电路整体架构特权同学,版权所有配套例程和更多资料下载链接:http://pan.baidu.com/s/1i5LMUUD 如图2.1所示,“勇敢
2017-10-17 21:43:04

勇敢的芯伴你玩转Altera FPGA连载4:Verilog与VHDL

`勇敢的芯伴你玩转Altera FPGA连载4:Verilog与VHDL特权同学,版权所有配套例程和更多资料下载链接:http://pan.baidu.com/s/1i5LMUUD Verilog
2017-09-26 21:07:34

如何学习FPGA看了就知道

这门技术。网络上各种开发板、培训班更是多如牛毛,仿佛在告诉你不懂FPGA你就OUT啦。那么我们要玩转FPGA必须具备哪些基础知识呢?如何学习FPGA呢?下面我们慢慢道来。  (一) 要了解什么是FPGA
2020-12-23 17:49:18

如何学习设计FPGA

学习一门技术之前我们往往从它的编程语言入手,比如学习单片机时,我们往往从汇编或者C语言入门。所以不少开始接触FPGA开发人员,往往是从VHDL或者Verilog开始入手学习的。但我个人认为,若能
2019-08-06 06:04:50

教你如何学习FPGA~

寻求结果和答案的痛苦历程,回想起自己学习FPGA的经历,从开始的茫然,到后来的疯狂看书,购买开发板,在开发板上练习各种FPGA实例,到毕业工作后用一直FPGA做产品,独立完成项目,一路走来,感受颇多
2014-12-11 11:10:11

新人求助,关于FPGA学习的问题

小弟在校学生,想学习FPGA开发好以后从事相关的工作。可是遇到了问题本科是电子专业的,学过数模电,但是Verilog语言和FPGA一点没有接触过。1:是先把编程语言学会了再学习FPGA板吗还是两者
2014-06-07 20:46:25

新手怎么学习FPGA

新手怎么学习FPGA? FPGA作为一种高新技术,由于其结构的特殊性,可以重复编程,开发周期较短,越来越受到电子爱好者的青睐,其应用已经逐渐普及到了各行各业。因此,越来越多的电子爱好者想尽快掌握这门
2014-11-11 15:44:22

新手怎么学习FPGA?

。有过问题迎刃而解的快乐,也有过苦苦寻求结果和答案的痛苦历程,回想起自己学习FPGA的经历,从开始的茫然,到后来的疯狂看书,购买开发板,在开发板上练习各种FPGA实例,到毕业工作后用一直FPGA做产品
2014-11-13 15:54:21

FPGA开发

       亿海微6系 EQ6HL45型可编程逻辑芯片开发平台采用核心板加扩展板的模式,方便用户对核心板的二次开发利用,为前期验证和后期应用提供了可能。相信这样的一款产品非常适合从事FPGA开发的工程师、科研人员等群体。
2022-02-16 17:06:51

斑梨电子FPGA CycloneII EP2C5T144 学习开发

斑梨电子FPGA CycloneII EP2C5T144 学习开发板产品参数1. 采用ALTERA公司的CyclonellEP2C5T144芯片作为核心最小系统,将FPGA
2023-02-03 15:14:29

Intel Agilex® F系列FPGA开发套件

Intel Agilex® F系列FPGA开发套件Intel Agilex® F系列FPGA开发套件设计用于使用兼容PCI-SIG的开发开发和测试PCIe 4.0设计。该开发套件还可通过硬核处理器
2024-02-27 11:51:58

FPGA开发流程及编程思想

FPGA开发流程及编程思想,好东西,喜欢的朋友可以下载来学习
2016-01-18 15:17:2731

FPGA开发流程

FPGA开发流程,好东西,喜欢的朋友可以下载来学习
2016-01-18 15:17:2732

MCU与FPGA片上系统开发

单片机相关知识学习教材MCU与FPGA片上系统开发
2016-09-01 14:55:490

Xilinx-FPGA高级开发工具

FPGA学习资料教程之Xilinx-FPGA高级开发工具,感兴趣的可以看看。
2016-09-01 15:27:270

工程师创新设计之FPGA开发

FPGA学习资料教程之工程师创新设计之FPGA开发
2016-09-01 16:40:070

FPGA开发学习连载:Verilog设计经验谈

Verilog中,用always块设计组合逻辑电路时,在赋值表达式右端参与赋值的所有信号都必须在 always @(敏感电平列表)中列出,always中if语句的判断表达式必须在敏感电平列表中列出。
2017-02-11 13:17:43770

学习FPGA最难的地方是什么?

问:本人零基础,想学FPGA,求有经验的人说说,我应该从哪入手,应该看什么教程,应该用什么学习板和开发板,看什么书等,希望有经验的好心人能够给我一些引导。
2018-09-15 10:20:263948

如何学习FPGA学习FPGA的详细经验有哪些阶段

(RS232,LCD,VGA,SPI,I2c等)的设计,时序分析,硬件优化等,自己开始设计简单的FPGA板子。 ③、NiosII的学习,熟悉NiosII的开发流程,熟悉开发软件(SOPC,NiosII IDE),了解NiosII的基本结构,设计NiosII开发板,编写NiosII C语言程序,调试板子各
2018-10-25 18:01:1029

FPGA学习教程之硬件设计基本概念

目前在做FPGA移植加速CNN卷积神经网络Inference相关的学习,使用的是Xilinx公司的ZYNQ-7000系列的FPGA开发板,该博客为记录相关学习内容,如有问题欢迎指教。前面已经介绍
2020-12-25 17:34:3622

【正点原子FPGA连载】第三章 硬件资源详解 -摘自【正点原子】新起点之FPGA开发指南_V2.1

【正点原子FPGA连载】第三章 硬件资源详解 -摘自【正点原子】新起点之FPGA开发指南_V2.1
2021-11-21 14:06:0319

【正点原子FPGA连载】第二十五章HDMI方块移动实验 -摘自【正点原子】新起点之FPGA开发指南_V2.1

【正点原子FPGA连载】第二十五章HDMI方块移动实验 -摘自【正点原子】新起点之FPGA开发指南_V2.1
2021-11-24 14:36:0713

【正点原子FPGA连载】第二十三章RGB-LCD字符和图片显示实验 -摘自【正点原子】新起点之FPGA开发指南_V2.1

【正点原子FPGA连载】第二十三章RGB-LCD字符和图片显示实验 -摘自【正点原子】新起点之FPGA开发指南_V2.1
2021-11-24 14:36:0813

【正点原子FPGA连载】第二十七章DS18B20数字温度传感器实验 -摘自【正点原子】新起点之FPGA开发指南_V2.1

【正点原子FPGA连载】第二十七章DS18B20数字温度传感器实验 -摘自【正点原子】新起点之FPGA开发指南_V2.1
2021-11-25 11:06:1528

【正点原子FPGA连载】第九章按键控制LED灯实验 -摘自【正点原子】新起点之FPGA开发指南_V2.1

【正点原子FPGA连载】第九章按键控制LED灯实验 -摘自【正点原子】新起点之FPGA开发指南_V2.1
2021-12-04 13:06:1310

【正点原子FPGA连载】第三十七章双路高速AD实验 -摘自【正点原子】新起点之FPGA开发指南_V2.1

【正点原子FPGA连载】第三十七章双路高速AD实验 -摘自【正点原子】新起点之FPGA开发指南_V2.1
2021-12-04 15:06:0511

【正点原子FPGA连载】第三十五章高速AD/DA实验 -摘自【正点原子】新起点之FPGA开发指南_V2.1

【正点原子FPGA连载】第三十五章高速AD/DA实验 -摘自【正点原子】新起点之FPGA开发指南_V2.1
2021-12-04 15:06:0612

【正点原子FPGA连载】第十五章 窗口门狗(WWDG)实验 -摘自【正点原子】新起点之FPGA开发指南_V2.1

【正点原子FPGA连载】第十五章 窗口门狗(WWDG)实验 -摘自【正点原子】新起点之FPGA开发指南_V2.1
2021-12-05 11:21:0612

AGM FPGA之AG10K 系列的开发建议(连载二)

AGM FPGA之AG10K 系列的开发建议(连载二)
2021-12-05 17:21:1425

Lattice Insights:赋能FPGA应用设计和开发

FPGA上设计和实现复杂的解决方案充满挑战。首次使用FPGA的用户可能上手很快,但是深入学习FPGA设计技术需要很多时间和精力。在莱迪思半导体,我们希望提供无缝衔接、令人满意的FPGA学习
2023-06-01 10:08:26593

FPGA学习入门从点灯开始

首先,FPGA开发工程师是一个相对高薪的工作,但是,很多同学在刚入门时都会有一种无从下手的感觉,尤其是将FPGA作为第一个要掌握的开发板时,更是感觉苦恼;本人也是如此,以下就本人学习FPGA开发的一些情况做一些介绍,希望后来者能够少走弯路。
2023-12-28 09:50:32197

已全部加载完成