0
  • 聊天消息
  • 系统消息
  • 评论与回复
登录后你可以
  • 下载海量资料
  • 学习在线课程
  • 观看技术视频
  • 写文章/发帖/加入社区
创作中心

完善资料让更多小伙伴认识你,还能领取20积分哦,立即完善>

3天内不再提示

关于Tcl的介绍和用途

Xilinx赛灵思官微 来源:djl 作者:赛灵思Lauren Gao 2019-07-27 09:28 次阅读

安装Vivado之后,在GUI界面会看到Tcl Console,在这里可以输入Tcl命令。同时,还会发现有一个所谓的“Vivado 2018.2 Tcl Shell”(如果你安装的是Vivado 2018.2的话),意味着可以在Tcl模式下使用Vivado。通常,借助GUI的操作都有相应的Tcl命令,但不是每个Tcl命令都可以通过GUI完成。从这个角度而言,用户可以编写自己的Tcl命令扩展Vivado的功能,Tcl让Vivado更强大。熟练使用Tcl,将会显著提升Vivado使用效率。事实上,很多EDA厂商都把Tcl做为标准的API(Application Programming Interface),用于控制和扩展他们的应用。

什么是 Tcl

Tcl(Tool Command Language)是一种脚本语言,是一种基于字符串的命令语言,是一种解释性语言。所谓解释性是指不像其他高级语言需要通过编译和联结,而与其他Shell语言一样,直接对每条语句顺序解释执行。

Tcl 的两大特征

-任何东西都是一条命令及其参数,包括语法结构(例如for,if等)

-所有数据类型都可以看做字符串(基于字符串的命令语言)

基于这两大特征再次理解什么是解释性语言

if { $a > 1 } {

puts “This is Tcl”

}

在处理if命令时,Tcl解释器只指导这个命令有三个词,其中第一个是命令名if。Tcl解释器并不知道if的第一个输入参数是表达式,第二个是Tcl脚本。完成对这个命令的解析之后,Tcl解释器才会把这个命令中的单词都传给if,此时这个命令会把第一个参数作为表达式,把第二个参数作为Tcl脚本处理。如果表达式的值非0,那么if就会把第二个参数传回Tcl解释器进行处理。到了这一步,解释器就会把这第二个参数作为脚本对待。事实上,if后面的两组大括号并无不同,其目的都是让Tcl解释器把括号内的字符原封不动地传给命令,不要进行任何替换操作。

学习 Tcl 的工具

-如果你安装了Vivado,Vivado自带的Vivado Tcl Shell就够用了。

-其他工具:ActiveTcl,免费工具,可在www.activestate.com下载

认识第一条 Tcl 命令

set a 5

set b “LUT”

关于Tcl的介绍和用途

声明:本文内容及配图由入驻作者撰写或者入驻合作网站授权转载。文章观点仅代表作者本人,不代表电子发烧友网立场。文章及其配图仅供工程师学习之用,如有内容侵权或者其他违规问题,请联系本站处理。 举报投诉
  • 赛灵思
    +关注

    关注

    32

    文章

    1794

    浏览量

    130533
  • 参数
    +关注

    关注

    11

    文章

    1398

    浏览量

    31472
  • 脚本语言
    +关注

    关注

    0

    文章

    47

    浏览量

    8113
收藏 人收藏

    评论

    相关推荐

    TCL王牌TCL-2509、TCL-2509S、TCL-2566、TCL-2568、TCL2969A电视机电路图

    TCL王牌TCL-2509、TCL-2509S、TCL-2566、TCL-2568、TCL296
    发表于 04-16 22:13

    TCL王牌TCL-2509、TCL-2509S、TCL-2566、TCL-2568、TCL-2969A电视机电路图

    TCL王牌TCL-2509、TCL-2509S、TCL-2566、TCL-2568、TCL-29
    发表于 09-09 15:37

    关于函数数组大小有什么用途

    大家好,我是刚开始接触LV,关于学习中有不懂的,望高手赐教!!关于函数数组大小有什么用途
    发表于 05-16 21:02

    关于TCL脚本问题

    请问大家,这个tcl脚本文件是做什么用的呢????本人是菜鸟,还望大家多多指教啊
    发表于 06-14 16:05

    TCL-CRT背投电视介绍

    TCL-CRT背投电视介绍 TCL-CRT 背投目前所用的机心主要有以下四种 HiD435B.r机心属60Hz逐行扫描机心 HiD432机心属低价60Hz逐行扫描机心 HiD438SB.r 属85Hz逐行扫
    发表于 04-13 16:27 43次下载

    王牌TCL-25l8E、TCL-2539D、TCL-2511

    王牌TCL-25l8E、TCL-2539D、TCL-2511DI、TCL-2516B、TCL-2516D、
    发表于 01-22 23:51 942次阅读
    王牌<b class='flag-5'>TCL</b>-25l8E、<b class='flag-5'>TCL</b>-2539D、<b class='flag-5'>TCL</b>-2511

    王牌TCL-2502、TCL-2506A、TCL-2535A

    王牌TCL-2502、TCL-2506A、TCL-2535A、TCL-2909B、TCL-2910GW、
    发表于 01-22 23:54 1142次阅读
    王牌<b class='flag-5'>TCL</b>-2502、<b class='flag-5'>TCL</b>-2506A、<b class='flag-5'>TCL</b>-2535A

    王牌TCL-9325、TCL-9228、TCL-9338、T

    王牌TCL-9325、TCL-9228、TCL-9338、TCL-9329、TCL-9329SP、T
    发表于 01-23 00:02 586次阅读
    王牌<b class='flag-5'>TCL</b>-9325、<b class='flag-5'>TCL</b>-9228、<b class='flag-5'>TCL</b>-9338、T

    TCL魔法手指功能介绍

    TCL魔法手指功能介绍TCL公司计划从2006/09/01先在K22,K41,T41,T42四个机种导入增值软件TCL魔法手指V1.0。后期会全部笔记本导入。(包括机型:T10
    发表于 04-12 12:46 3481次阅读

    热风枪的作用及用途介绍

    本文开始详细的介绍了热风枪的工作原理,其次介绍了热风枪的分类与作用,最后介绍了热风枪的用途及热风枪使用方法。
    发表于 02-05 13:47 6.3w次阅读

    Xilinx Tcl Store的基本介绍

    在本视频中,您将了解Xilinx Tcl Store,它是Tcl代码的开源存储库。 Tcl Store为用户提供了一种机制,可以为各种任务共享有用的脚本。
    的头像 发表于 11-22 07:20 3566次阅读

    关于TCL华星与惠科之间的专利互诉之战

    关于TCL华星与惠科之间的专利互诉之战,要从惠科三位中国台湾籍员工因涉嫌侵犯TCL华星商业秘密先后被刑事拘留说起。2016年1月,TCL公司的网络专业员工在公司总部通过网络服务器监控到
    的头像 发表于 08-26 11:27 4240次阅读
    <b class='flag-5'>关于</b><b class='flag-5'>TCL</b>华星与惠科之间的专利互诉之战

    关于TCL的基础知识浅析

    TCL,Tool Command Language,是一种脚本语言,主流的EDA工具都支持TCL进行操作。TCL经常被用于 快速原型开发,脚本编程, GUI和测试等方面。
    的头像 发表于 04-15 14:15 4632次阅读
    <b class='flag-5'>关于</b><b class='flag-5'>TCL</b>的基础知识浅析

    试验机老二:关于冲击机的用途

    上一期试验机老二说到冲击试验机的维修和校准,那么今天试验机老二便和大家分享一下关于冲击试验机的用途
    的头像 发表于 09-01 14:33 605次阅读
    试验机老二:<b class='flag-5'>关于</b>冲击机的<b class='flag-5'>用途</b>

    移远通信声明: 关于移远产品用途及安全合规性的澄清

    移远通信声明: 关于移远产品用途及安全合规性的澄清
    的头像 发表于 01-06 08:28 128次阅读
    移远通信声明: <b class='flag-5'>关于</b>移远产品<b class='flag-5'>用途</b>及安全合规性的澄清