0
  • 聊天消息
  • 系统消息
  • 评论与回复
登录后你可以
  • 下载海量资料
  • 学习在线课程
  • 观看技术视频
  • 写文章/发帖/加入社区
创作中心

完善资料让更多小伙伴认识你,还能领取20积分哦,立即完善>

3天内不再提示

如何建立Vivado工程以及硬件配置

FPGA之家 来源:FPGA之家 作者:FPGA之家 2022-08-01 11:53 次阅读

在ZYNQ上移植UCOSIII

版本:Vivado2018.3

UCOS对Xilinx SDK适配的版本:Version1.45

注意:目前这个是Micrium官网的最新版本,该版本支持Vivado2019.1。但测试使用的是Vivado2018.3。

先看官网提供的库:

DesktopMicrium_Xilinx_Repo_1_45ucos_v1_45

将Micrium_Xilinx_Repo_1_45解压到一个固定位置(无中文路径的固定位置)

ucos_v1_45下面有三个文件夹,如图:

10105836-1147-11ed-ba43-dac502259ad0.png

这三个文件夹都需要,但在SDK中仅需要定位到ucos目录下(待会会上图)

教程

在Vivado上配置好硬件信息,因为大家用的板子不一样,需要配置的硬件信息也不一样,就不给大家工程了。

一、新建Vivado工程

建立好工程,新建BlockDesign,添加IP(搜索ZYNQ),根据自己板子配置各种信息,导出硬件信息。

二、配置SDK

加载SDK,点击Xilinx然后点击Repositories进入如下界面

101d11b6-1147-11ed-ba43-dac502259ad0.png

在LocalRepositories下选择new定位下载的库到ucos_v1_45ucos(你自己放文件的位置)但ucos_v1_45下的其它两个文件夹不要删除。

三、新建Application

选择FilenewApplication进入如下界面

102ed432-1147-11ed-ba43-dac502259ad0.png

填好工程名字,在OS Platform 选择ucos,点击next进入Templates页面

1042836a-1147-11ed-ba43-dac502259ad0.png

点击页面中的Hello Word测试模板,点击Finish,编译即可。

这个教程是经过测试的,因为硬件平台不一致,给大家工程的意义不大,所以就不给了,这里仅给压缩的UCOS文件。

如果是新手不会自己建立Vivado工程以及硬件配置,可以参考市面上的ZYNQ教程,都很详细。使用他们ARM侧的裸机Hello Word教程,Vivado侧不用改,到SDK侧再按照我给的教程。祝大家使用UCOS顺利!

审核编辑:彭静
声明:本文内容及配图由入驻作者撰写或者入驻合作网站授权转载。文章观点仅代表作者本人,不代表电子发烧友网立场。文章及其配图仅供工程师学习之用,如有内容侵权或者其他违规问题,请联系本站处理。 举报投诉
  • 硬件
    +关注

    关注

    11

    文章

    2921

    浏览量

    64746
  • Zynq
    +关注

    关注

    9

    文章

    598

    浏览量

    46609
  • Vivado
    +关注

    关注

    18

    文章

    789

    浏览量

    65092

原文标题:UCOSIII在ZYNQ上教程

文章出处:【微信号:zhuyandz,微信公众号:FPGA之家】欢迎添加关注!文章转载请注明出处。

收藏 人收藏

    评论

    相关推荐

    NUCLEO-U575ZI-Q开发板如何在keil5上建立工程以及能否使用标准库?

    NUCLEO-U575ZI-Q开发板,如何在keil5上建立工程以及能否使用标准库(不能的情况下如何解决)
    发表于 03-19 06:42

    vivado软件的安装教程以及license

    vivado软件的安装教程以及license
    发表于 12-21 19:50

    Vivado2018版本中Modelsim的配置

    Vivado自带的仿真工具在一些基本功能的仿真测试时是可以满足的,但如果你的工程较为庞大,那么自带的仿真工具将有些勉强,除了在数据输出方面的卡顿,在仿真速度上也可能无法接受,这里可以借助第三方仿真工具进行工程仿真测试,
    的头像 发表于 11-08 14:47 704次阅读
    <b class='flag-5'>Vivado</b>2018版本中Modelsim的<b class='flag-5'>配置</b>

    Vivado Design Suite教程:嵌入式处理器硬件设计

    电子发烧友网站提供《Vivado Design Suite教程:嵌入式处理器硬件设计.pdf》资料免费下载
    发表于 09-15 10:12 1次下载
    <b class='flag-5'>Vivado</b> Design Suite教程:嵌入式处理器<b class='flag-5'>硬件</b>设计

    Vivado使用指南

    Pins窗口。4创建新工程1)打开Vivado双击图标:2)选择创建新工程双击图标:3)点击next:4)输入工程名称,以及选择
    发表于 09-06 17:55

    Vivado IP核Shared Logic选项配置

    在给Vivado中的一些IP核进行配置的时候,发现有Shared Logic这一项,这里以Tri Mode Ethernet MAC IP核为例,如图1所示。
    的头像 发表于 09-06 17:05 621次阅读
    <b class='flag-5'>Vivado</b> IP核Shared Logic选项<b class='flag-5'>配置</b>

    Vivado中BRAM IP的配置方式和使用技巧

    FPGA开发中使用频率非常高的两个IP就是FIFO和BRAM,上一篇文章中已经详细介绍了Vivado FIFO IP,今天我们来聊一聊BRAM IP。
    的头像 发表于 08-29 16:41 2978次阅读
    <b class='flag-5'>Vivado</b>中BRAM IP的<b class='flag-5'>配置</b>方式和使用技巧

    基于 FPGA Vivado 的数字钟设计(附源工程

    今天给大侠带来基于 FPGA Vivado 的数字钟设计,开发板实现使用的是Digilent basys 3。话不多说,上货。 需要源工程可以在以下资料获取里获取。 资料汇总|FPGA软件安装包
    发表于 08-18 21:18

    基于 FPGA Vivado 示波器设计(附源工程

    今天给大侠带来基于 FPGA Vivado 示波器设计,开发板实现使用的是Digilent basys 3,话不多说,上货。 需要源工程可以在以下资料获取里获取。 资料汇总|FPGA软件安装包
    发表于 08-17 19:31

    基于 FPGA Vivado 信号发生器设计(附源工程

    今天给大侠带来基于 FPGA Vivado 信号发生器设计,开发板实现使用的是Digilent basys 3。话不多说,上货。 需要源工程可以在以下资料获取里获取。 资料汇总|FPGA软件安装包
    发表于 08-15 19:57

    vivado软件和modelsim软件的安装方法

    本文详细介绍了vivado软件和modelsim软件的安装,以及vivado配置modelsim仿真设置,每一步都加文字说明和图片。
    的头像 发表于 08-07 15:48 1701次阅读
    <b class='flag-5'>vivado</b>软件和modelsim软件的安装方法

    如何在Vivado配置FIFO IP核

    Vivado IP核提供了强大的FIFO生成器,可以通过图形化配置快速生成FIFO IP核。
    的头像 发表于 08-07 15:36 1918次阅读
    如何在<b class='flag-5'>Vivado</b>中<b class='flag-5'>配置</b>FIFO IP核

    Vivado调用Modelsim仿真

    Modelsim是十分常用的外部仿真工具,在Vivado中也可以调用Modelsim进行仿真,下面将介绍如何对vivado进行配置并调用Modelsim进行仿真,在进行仿真之前需要提前安装Modelsim软件。
    的头像 发表于 07-24 09:04 1990次阅读
    <b class='flag-5'>Vivado</b>调用Modelsim仿真

    vivado创建工程流程

    vivado工程创建流程对于大部分初学者而言比较复杂,下面将通过这篇博客来讲解详细的vivado工程创建流程。帮助自己进行学习回顾,同时希望可以对有需要的初学者产生帮助。
    的头像 发表于 07-12 09:26 1317次阅读
    <b class='flag-5'>vivado</b>创建<b class='flag-5'>工程</b>流程

    用 TCL 定制 Vivado 设计实现流程

    约束,可以生成时序报告,在每一步都能输出包含有网表、约束以及布局布线信息(如果有)的设计检查点(DCP)文件,大大缩短了运行时间。从使用方式上来讲,Vivado 支持工程模式(Project
    发表于 06-28 19:34