0
  • 聊天消息
  • 系统消息
  • 评论与回复
登录后你可以
  • 下载海量资料
  • 学习在线课程
  • 观看技术视频
  • 写文章/发帖/加入社区
创作中心

完善资料让更多小伙伴认识你,还能领取20积分哦,立即完善>

3天内不再提示

重磅 | e星球发布2019年6大关键词

西西 作者:厂商供稿 2019-02-21 10:24 次阅读

3月春暖花开日,一年“两会”(electronica China&productronica China)到来时。在电子制造盛会大幕将要拉开之际,e星球重磅发布以下六大关键词。

关键词 1 未来汽车

虽然2018年对于汽车行业来说不是那么的美好,但是随着人们对汽车安全性、舒适性、智能性等方面的需求日益提升,电子化、信息化、网络化和智能化依旧是汽车技术的未来发展方向。5GAI等技术的快速发展与应用,也为汽车电子技术的发展带来了很多想象空间。

关键词2 智慧工厂

智能制造是全球工业行业苏醒的至关点之一,在5G、AI、物联网等技术的快速发展下,智能制造迎来了冬天的第一缕阳光。据研究机构预测,2019年中国智能制造行业市场规模将突破19,000亿元。Digital Twin、+AI等智慧工厂的技术亮点也会在3月份的慕尼黑上海电子展上有所体现。

关键词3 +AI

随着移动互联网时代向人工智能加速过渡,知名研究机构CB Insights最新报告指出,AI发展正在进入“端”时代,包括手机、汽车、可穿戴在内的终端都将越来越多地迎来AI加持。而人工智能的边缘化应用还远不止于此,智能家居自动驾驶等诸多热门领域中,都有它的身影,3月份的慕尼黑上海电子展相信你会有所发现。

关键词4 IoT+

物联网从20多年的概念提出发展至今,在一系列领域逐渐得到规模化验证。IoT+行业应用的细分市场开始出现分化,智慧城市、工业物联网、车联网、智能家居成为主流细分市场。芯片、智能识别、传感器区块链、边缘计算等物联网相关新技术的迭代演进,加快驱动物联网应用产品向智能、便捷、低功耗以及小型化方向发展。

关键词5 首发基地

2018年上海市政府提出了“打造全球新品首发地”的战略,作为上海信息化博览会的重要组成部分,慕尼黑上海电子展也将致力于打造电子技术与创新产品的首发基地。

关键词6 中国力量

无论是美国CES还是德国慕尼黑electronica展上,中国企业和观众从规模上都已成为了展会的主力军之一,中国力量也成为电子行业不可小觑的重要推动力。作为中国电子企业的主场,慕尼黑上海电子展同样会聚焦“中国力量”,帮助中国电子企业提升实力、扩大影响力。

2019年e星球的预登记已全面开启,锁定通往“e星球”的专属坐席!

展会同期,《电子发烧友》作为本次展会官方指定唯一视频采访直播平台,将在E4馆建立3个独立直播间,以“未来汽车、智慧工厂、中国力量”为主线和核心内容,邀请行业领军人物接受视频采访,为大家分享产业新动向、产品新技术、创新解决方案等。即日起预约直播,可领取精美礼品哦!

视频采访直播联系:

Elecfans 福利妹

手机:13738071198

微信:elecfans007

关于电子发烧友

电子发烧友网站是国内主要的提供电元器件资讯和采编内容的媒体平台,每月超过千万的访问量,能够为您提供各种营销方案。

本土化的宣传平台助力您的产品深入影响超过两百万活跃的工程师用户,迅速抢占全球发展最快的半导体市场。利用我们的流量和观众能够使您的产品和品牌信息得到充分展示。

关于electronica、productronica和全球电子展网络

electronica是世界领先的电子元器件和组件展览会。productronica是世界领先的电子生产设备展览会。两展分别于单双年在德国慕尼黑轮流举办。慕尼黑博览集团全球电子展网络包含了全球的一系列电子展览会,包括electronica、productronica、electronicIndia、electronicAsia、electronica China、productronica China和electronicAmericas。这些展会基于慕尼黑本土展览会的经验,展示了契合于当地市场需求的内容。

慕尼黑博览集团简介

慕尼黑博览集团是世界领先的展览公司之一,每年在全球范围内举办40余个大型国际博览会,涉及资本产品、消费品和高新科技三大行业。每年共有3万余家参展商和超200万名观众齐聚慕尼黑展览中心、慕尼黑国际会议中心、慕尼黑会展与采购中心参加展会。此外,慕尼黑博览集团还在中国、印度、土耳其、南非和俄罗斯举办各类专业博览会。其业务网络覆盖全球,在欧洲、亚洲和非洲设有60多个海外业务代表处,服务全球100余个国家。

声明:本文内容及配图由入驻作者撰写或者入驻合作网站授权转载。文章观点仅代表作者本人,不代表电子发烧友网立场。文章及其配图仅供工程师学习之用,如有内容侵权或者其他违规问题,请联系本站处理。 举报投诉
  • AI
    AI
    +关注

    关注

    87

    文章

    26460

    浏览量

    264088
  • 5G
    5G
    +关注

    关注

    1340

    文章

    47809

    浏览量

    554362
  • 智慧工厂
    +关注

    关注

    2

    文章

    356

    浏览量

    27195
  • e星球
    +关注

    关注

    0

    文章

    6

    浏览量

    2246
收藏 人收藏

    评论

    相关推荐

    深开鸿用三个关键词,为你解读《2023 OpenHarmony 年度运营报告》

    的一笔共同奏响中国基础软件的光辉岁月作为OpenHarmony生态的领军企业深开鸿通过三个关键词带你读懂《2023OpenHarmony年度运营报告》01繁荣202
    的头像 发表于 02-02 17:00 170次阅读
    深开鸿用三个<b class='flag-5'>关键词</b>,为你解读《2023 OpenHarmony 年度运营报告》

    中科曙光算力服务年度盘点 四大关键词

    总结为以下四个关键词。 聚焦 —  曙光算力服务紧跟市场趋势,积极参与信通院新一代算力网技术创新联盟、首批可信算力云服务-智能平台和“算力星图”计划。通过深度参与行业标准和技术创新,曙光智算成功通过首批“可信算力服务-智
    的头像 发表于 01-04 10:34 287次阅读

    测测这10个AI关键词你清楚几个?第4个今年最火

    原文标题:测测这10个AI关键词你清楚几个?第4个今年最火 文章出处:【微信公众号:微软科技】欢迎添加关注!文章转载请注明出处。
    的头像 发表于 12-21 08:15 271次阅读
    测测这10个AI<b class='flag-5'>关键词</b>你清楚几个?第4个今年最火

    #2023,你的 FPGA 年度关键词是什么? # PWM模块更新

    之前的因为一些问题发的code有点问题,这次把更新之后code发了出来,虽然也不是很完善但是初步还是可以用的; 对应的code如下: `timescale 1ns / 1ps modulecreat_PWM ( inputwireclk,//系统时钟为100MHz inputwirerst,//系统复位 inputwirekey_flag1,//占空比上调 inputwirekey_flag2,//占空比下调 inputwirekey_flag3,//频率上调 inputwirekey_flag4,//频率下调 output regPWM ); //PWM波形频率选择 reg [1:0] Frequency_seting; always @(posedge clk or negedge rst) if( rst == 1\'b0 ) Frequency_seting <= 2\'b00; else if( (Frequency_seting == 2\'b11) && (key_flag3==1\'b1) ) Frequency_seting <= 2\'b00; else if( (Frequency_seting == 2\'b00) && (key_flag4==1\'b1) ) Frequency_seting <= 2\'b11; else if( key_flag3==1\'b1 ) Frequency_seting <= Frequency_seting + 1\'b1; else if( key_flag4==1\'b1 ) Frequency_seting <= Frequency_seting - 1\'b1; else Frequency_seting <= Frequency_seting; //PWM波形的频率设定 reg [23:0] Frequency_CNT_MAX; always @(posedge clk or negedge rst) if( rst == 1\'b0 ) Frequency_CNT_MAX <= 24\'d9_999; else case( Frequency_seting ) 2\'b00 : Frequency_CNT_MAX <= 24\'d9_999; 2\'b01 : Frequency_CNT_MAX <= 24\'d99_999; 2\'b10 : Frequency_CNT_MAX <= 24\'d999_999; 2\'b11 : Frequency_CNT_MAX <= 24\'d9_999_999; default : Frequency_CNT_MAX <= 24\'d9_999; endcase //PWM频率生成计数器模块 reg [23:0] counter; always @(posedge clk or negedge rst) if( rst == 1\'b0 ) counter <= 0; else if( counter == Frequency_CNT_MAX) counter <= 0; else counter <= counter + 1\'b1; //占空比调节模块,步进为10% reg [23:0] duty_counter; always @(posedge clk or negedge rst) if( rst == 1\'b0 ) duty_counter <= Frequency_CNT_MAX/2; else if( key_flag1 == 1\'b1 ) duty_counter <= duty_counter + (Frequency_CNT_MAX/10); else if( key_flag2 == 1\'b1 ) duty_counter <= duty_counter - (Frequency_CNT_MAX/10); else duty_counter <= duty_counter; //生成PWM always @(posedge clk or negedge rst) if( rst == 1\'b0 ) PWM <= 1\'b0; else if( duty_counter <= counter ) PWM <= 1\'b1; else PWM <= 1\'b0; endmodule 对应的测试用的testbench如下: `timescale 1ns/1ns module tb_creat_PWM(); //****************** Parameter and Internal Signal *******************// //wire define wirePWM; //reg define reg clk; reg rst; reg key_flag1; reg key_flag2; reg key_flag3; reg key_flag4; //***************************** Main Code ****************************// initial begin clk = 1\'b1; rst <= 1\'b0; key_flag1 <= 1\'b0; key_flag2 <= 1\'b0; key_flag3 <= 1\'b0; key_flag4 <= 1\'b0; #201; rst <= 1\'b1; #200; key_flag1 <= 1\'b1; #100; key_flag1 <= 1\'b0; #20000000; key_flag1 <= 1\'b1; #100; key_flag1 <= 1\'b0; #20000000; #20000000; $stop; end // creator clk always #10 clk <= ~clk; //*************************** Instantiation **************************// creat_PWMcreat_PWM_inst ( .clk ( clk), .rst ( rst), .key_flag1 ( key_flag1 ), .key_flag2 ( key_flag2 ), .key_flag3 ( key_flag3 ), .key_flag4 ( key_flag4 ), .PWM ( PWM) ); endmodule 对应的原始code中的参数如果修改一下是可以大幅缩短仿真时间,但是一时没有想起对应的修改模块内部变量的方法,后面找到后再进行补充。 写的还是感觉比较差劲,只能说说慢慢进步吧,自己也是自学不久。
    发表于 12-12 10:47

    #2023,你的 FPGA 年度关键词是什么? # PWM模块基础设计

    由于今天连续多次无法发布该文章,心态真的是崩了,由于基础的PWM比较简单,此次先给大家展示个半成品,完整状态对应的PWM频率、占空比均可调节,对应的模块结构图如下: 对应的基本code如下
    发表于 12-06 21:56

    #2023,你的 FPGA 年度关键词是什么? #

    FPGA 年度关键词,我的想法是“标准化”;今年的工作中遇到了不少同事的issues,本身都是小问题或者很细节的东西但是却反复出现问题,目前想到的最好的办法是做好设计规则的标准化才能避免,不知道大家有没有更好的建议?
    发表于 12-06 20:31

    打卡有好礼!FPGA开发者技术社区每日打卡活动来啦!!

    区,大步迈向FPGA新发展新力量! FPGA技术社区每日有奖打卡正式开启,参与每日打卡即可获得开发板福利~ 活动要求: 一、每月指定一个打卡关键词,回帖打卡需加上本月打卡关键词 例如
    发表于 11-06 18:28

    华为鸿蒙系统

    华为鸿蒙系统(HUAWEI Harmony OS),是华为公司在20198月9日于东莞举行的华为开发者大会(HDC.2019)上正式发布的操作系统。 华为鸿蒙系统是一款全新的面向全场
    发表于 11-02 19:39

    AT32上实现关键词语音识别(KWS)

    AT32上实现关键词语音识别(KWS)本文基于此开源模型和代码,在AT32 MCU 上对KWS 效果进行展示。
    发表于 10-26 07:45

    行业周期始末,2023年慕尼黑华南电子展“圈出”产业关键词

    半导体产业的推动效应日益显著。复苏与渗透正在持续演绎的当下,2023慕尼黑华南电子展重磅发布9大关键词,旨在为行业厘清未来发展脉络,帮助产业成功穿越周期开启新篇章。   2023慕尼黑华南电子展观众注册通道开启! 点击下方链接免
    发表于 09-13 14:13 140次阅读
    行业周期始末,2023年慕尼黑华南电子展“圈出”产业<b class='flag-5'>关键词</b>!

    中国信通院发布“2023云计算十大关键词

    来源:中国信通院7月25日,由中国信息通信研究院、中国通信标准化协会主办的“2023可信云大会”在京召开。中国信息通信研究院云计算与大数据研究所所长何宝宏在会上正式发布“2023云计算十大关键词
    的头像 发表于 07-31 23:44 465次阅读
    中国信通院<b class='flag-5'>发布</b>“2023云计算十<b class='flag-5'>大关键词</b>”

    中国信通院发布“2023云计算十大关键词

    来源:中国信通院 7月25日,由中国信息通信研究院、中国通信标准化协会主办的“2023 可信云大会”在京召开。中国信息通信研究院云计算与大数据研究所所长何宝宏在会上正式发布“2023云计算十大关键词
    的头像 发表于 07-27 10:35 573次阅读
    中国信通院<b class='flag-5'>发布</b>“2023云计算十<b class='flag-5'>大关键词</b>”

    TinyML变得简单:关键词识别(KWS)

    电子发烧友网站提供《TinyML变得简单:关键词识别(KWS).zip》资料免费下载
    发表于 07-13 10:20 2次下载
    TinyML变得简单:<b class='flag-5'>关键词</b>识别(KWS)

    格灵深瞳体育新品重磅发布 为智慧校园体育建设强力赋能

      5月, 中国(南京)教体装备产业博览会于南京正式开展,格灵深瞳所在的B1展位现场打卡不断,精彩纷呈。 下面,让我们通过三个关键词,一文get本次展会暨格灵深瞳体育新品发布会全亮点。 01 关键词
    的头像 发表于 05-30 10:30 501次阅读

    用五大关键词解读23家连接器企业年报

    2022各企在复杂困顿的环境之下,持续在连接器行业中坚守与创新,不断提升国产通信连接器、车载连接器、光储连接器市占率。本文将以五大关键词来简要概述上市连接器企业2022年的业绩情况。 *注:本文
    的头像 发表于 05-08 15:57 321次阅读
    用五<b class='flag-5'>大关键词</b>解读23家连接器企业年报