0
  • 聊天消息
  • 系统消息
  • 评论与回复
登录后你可以
  • 下载海量资料
  • 学习在线课程
  • 观看技术视频
  • 写文章/发帖/加入社区
创作中心

完善资料让更多小伙伴认识你,还能领取20积分哦,立即完善>

3天内不再提示

Innovus为28nm SoC实现更大规模设计和更高质量的结果

Cadence楷登 来源:未知 作者:胡薇 2018-05-08 10:57 次阅读

中国上海,2018年5月7日 – 楷登电子(美国Cadence公司NASDAQ: CDNS)今日宣布,瑞昱半导体股份有限公司(Realtek Semiconductor Corp.)将Cadence® Innovus™ 设计实现系统用于其最新28nm数字电视(DTV)系统级芯片的研发并成功流片,同时成功缩小了芯片面积并降低了功耗。除了改善结果质量(QoR)之外,Innovus设计实现系统容量更高,可支持实现更大的顶层模块,降低SoC顶层设计的分割区块和复杂度。

Realtek 亟需在紧迫的时间节点内交付更大规模、更复杂的DTV SoC,同时满足严格的功耗和面积目标,Innovus设计实现系统能够完全满足其要求的解决方案。Realtek使用Cadence上一代布局规划解决方案已有多年经验,所以其设计团队可轻松实现过渡,在Innovus设计实现系统的帮助下更高效的设计大型模块。

“随着SoC设计规模和面积的提高,顶层模块也变得越来越大,这时就需要大容量的实现工具,”Realtek公司副总裁兼企业发言人黄依玮说道。“Cadence Innovus设计实现系统帮助我们可以在设计更大规模的模块时,同时达到改善结果质量,加快设计收敛,使产品更具竞争力。”

Innovus设计实现系统是大规模并行物理实现系统,帮助工程师完成高质量设计,在满足功耗、性能和面积(PPA)目标的同时缩短产品上市时间。该系统是Cadence数字设计平台的组成部分,并支持Cadence系统设计实现战略,帮助系统和半导体公司高效打造完整、差异化的终端产品。

关于楷登电子 Cadence

Cadence 公司致力于推动电子系统和半导体公司设计创新的终端产品,以改变人们的工作、生活和娱乐方式。客户采用 Cadence的软件、硬件、IP 和服务,覆盖从半导体芯片到电路板设计乃至整个系统,帮助他们能更快速向市场交付产品。Cadence 公司创新的“系统设计实现” (SDE)战略,将帮助客户开发出更具差异化的产品,无论是在移动设备、消费电子云计算汽车电子、航空、物联网工业应用等其他的应用市场。Cadence 公司同时被财富杂志评选为“全球年度最适宜工作的100家公司”之一。了解更多,请访问公司网站 cadence.com。

声明:本文内容及配图由入驻作者撰写或者入驻合作网站授权转载。文章观点仅代表作者本人,不代表电子发烧友网立场。文章及其配图仅供工程师学习之用,如有内容侵权或者其他违规问题,请联系本站处理。 举报投诉
  • soc
    soc
    +关注

    关注

    38

    文章

    3750

    浏览量

    215754
  • Cadence
    +关注

    关注

    62

    文章

    882

    浏览量

    140806
  • realtek
    +关注

    关注

    4

    文章

    47

    浏览量

    44687

原文标题:Cadence Innovus助力Realtek成功开发DTV SoC解决方案

文章出处:【微信号:gh_fca7f1c2678a,微信公众号:Cadence楷登】欢迎添加关注!文章转载请注明出处。

收藏 人收藏

    评论

    相关推荐

    北斗芯片产业的高质量发展之路

    高质量发展是全面建设社会主义现代化国家的首要任务”,二十大报告中对高质量发展有着明确的论断和要求。在2023年的全国两会中还指出,加快实现高水平科技自立自强,是推动高质量发展的必由之
    的头像 发表于 03-15 14:03 140次阅读
    北斗芯片产业的<b class='flag-5'>高质量</b>发展之路

    稳中创新•产业升级•高质量发展 | 联诚发高质量发展工作推进会议召开

    推动企业高质量发展。联诚发创始人兼总裁龙平芳、董秘毛强军等公司领导、员工代表出席了本次大会。 会上,董秘毛强军首先提到,在当今竞争激烈的市场环境中,公司的高质量发展是企业追求的最终目标。为了实现这一目标,各板块和各岗
    的头像 发表于 02-22 11:33 192次阅读
    稳中创新•产业升级•<b class='flag-5'>高质量</b>发展 | 联诚发<b class='flag-5'>高质量</b>发展工作推进会议召开

    商汤科技与库醇科技达成合作 为垂域大模型构建高质量大规模的领域微调数据

    数字化转型,为垂域大模型构建高质量大规模的领域微调数据。   本次合作将基于商汤通用大模型进行二次开发,给模型注入领域知识, 训练一个专门根据葡萄酒爱好者问题生成关键词的大模型 。     商汤科技副总裁张果琲(右四)、库醇科技CEO郭俊
    的头像 发表于 01-10 09:46 484次阅读
    商汤科技与库醇科技达成合作 为垂域大模型构建<b class='flag-5'>高质量大规模</b>的领域微调数据

    台积电第一家日本工厂即将开张:预生产28nm工艺芯片

    这座晶圆厂于2022年4月开始新建,大楼主结构已完工,且办公室部分区域也在今年8月启用。将生产N28 28nm级工艺芯片,这是日本目前最先进的半导体工艺。22ULP工艺也会在这里生产,但注意它不是22nm,而是
    的头像 发表于 01-03 15:53 536次阅读

    双目测宽仪高质量生产利器 测宽仪价格

    光,它能做到无损检测,实时监测报警,数据存储,数据分析,是轧钢工作人员提供重要指导依据的设备,是高质量生产的利器。
    发表于 12-04 17:10

    卓越领航!广和通获评“2023高质量发展领军企业”

    广和通要闻 11月28日,以“协同新发展、引领新示范”为主题的第四届高质量发展高峰论坛暨2023高质量发展领军企业、领军人物颁奖盛典顺利举办。大会揭晓了“2023高质量发展领军企业、领
    的头像 发表于 11-29 18:00 255次阅读
    卓越领航!广和通获评“2023<b class='flag-5'>高质量</b>发展领军企业”

    高质量C、C++编程指南

    林锐-高质量C、C++编程指南电子档
    发表于 10-07 07:14

    支持工业4.0工厂的大规模定制、高质量和可持续运营

    对于工业4.0自动化制造系统的设计人员来说,通过高质量和可持续的生产流程支持大规模定制可能具有挑战性。需要在各种有线和无线网络上部署和连接多个传感和控制设备,并且需要实时监控其状态和能耗,同时满足
    的头像 发表于 08-03 17:30 9252次阅读
    支持工业4.0工厂的<b class='flag-5'>大规模</b>定制、<b class='flag-5'>高质量</b>和可持续运营

    何为高质量的代码?如何写出高质量代码?

    懂得“数据结构与算法” 写出高效的代码,懂得“设计模式”写出高质量的代码。
    发表于 08-02 09:44 456次阅读
    何为<b class='flag-5'>高质量</b>的代码?如何写出<b class='flag-5'>高质量</b>代码?

    新发展格局下 磁元件企业如何实现高质量发展

    高质量发展浪潮下,磁性元器件行业面临转型问题,如何实现产业高质量发展?华东磁元件峰会搭建行业沟通桥梁,将为磁元件行业实现高质量发展提供一份
    的头像 发表于 07-11 11:50 404次阅读
    新发展格局下 磁元件企业如何<b class='flag-5'>实现</b><b class='flag-5'>高质量</b>发展

    IP_数据表(I-20):FPD-Link Transmitter for TSMC 28nm HPC

    IP_数据表(I-20):FPD-Link Transmitter for TSMC 28nm HPC
    发表于 07-06 20:18 2次下载
    IP_数据表(I-20):FPD-Link Transmitter for TSMC <b class='flag-5'>28nm</b> HPC

    IP_数据表(I-4):16Gpbs SerDes for TSMC 28nm HPC

    IP_数据表(I-4):16Gpbs SerDes for TSMC 28nm HPC
    发表于 07-06 20:17 0次下载
    IP_数据表(I-4):16Gpbs SerDes for TSMC <b class='flag-5'>28nm</b> HPC

    IP_数据表(Z-4):1.8V StndardCell for TSMC 28nm HPC+

    IP_数据表(Z-4):1.8V StndardCell for TSMC 28nm HPC+
    发表于 07-06 20:12 0次下载
    IP_数据表(Z-4):1.8V StndardCell for TSMC <b class='flag-5'>28nm</b> HPC+

    IP_数据表(I-2):Combo PHY for TSMC 28nm HPM

    IP_数据表(I-2):Combo PHY for TSMC 28nm HPM
    发表于 07-06 20:12 1次下载
    IP_数据表(I-2):Combo PHY for TSMC <b class='flag-5'>28nm</b> HPM

    IP_数据表(I-28):MIPI D-PHY Tx/Rx for Samsung 28nm

    IP_数据表(I-28):MIPI D-PHY Tx/Rx for Samsung 28nm
    发表于 07-05 19:46 1次下载
    IP_数据表(I-<b class='flag-5'>28</b>):MIPI D-PHY Tx/Rx for Samsung <b class='flag-5'>28nm</b>