0
  • 聊天消息
  • 系统消息
  • 评论与回复
登录后你可以
  • 下载海量资料
  • 学习在线课程
  • 观看技术视频
  • 写文章/发帖/加入社区
创作中心

完善资料让更多小伙伴认识你,还能领取20积分哦,立即完善>

3天内不再提示

多路选择器有哪些_多路选择器分类介绍

姚小熊27 来源:网络整理 2018-04-27 09:13 次阅读

多路选择器的分类

多路选择器也称数据选择器。常见分类有4选1数据选择器、8选1数据选择器(型号为74151、74LS151、74251、74LS152)、16选1数据选择器(可以用两片74151连接起来构成)等之分。多路选择器还包括总线的多路选择,模拟信号的多路选择等,另外相应的器件也有不同的特性和使用方法。

多路选择器有哪些_多路选择器分类介绍

多路选择器的4选1原理图

图所示的是四选一多路选择器的原理图。图中的D0、D1、D2、D3是四个数据输入端,Y为输出端,A1、A0是地址输入端。从表中可见,利用指定A1A0的代码,能够从D0、D1、D2、D3这四个输入数据中选出任何一个并送到输出端。因此,用数据选择器可以实现数据的多路分时传送。

此外,多路选择器还广泛用于产生任意一种组合逻辑函数。

多路选择器有哪些_多路选择器分类介绍

如果把A1、A0视为两个输入逻辑变量,同时把D0、D1、D2和D3取为第三个输入逻辑变量A2的不同状态(即A2、/A2、1或0),便可产生所需要的任何一种三变量A2、A1、A0的组合逻辑函数。可见,利用具有n位地址输入的多路选择器可以产生任何一种输入变量数不大于n +1的组合逻辑函数。

多路选择器典型芯片

典型中规模多路选择器有双4路数据选择器74153,其引脚排列图和逻辑符号如图1(a)、(b)所示。

多路选择器有哪些_多路选择器分类介绍

数据选择器74153芯片含两个4路数据选择器,每个选择器接收4路数据输入,产生一个输出,两个4路数据选择器共用两个选择变量。芯片有16条引线,其中1D0~1D3,2D0~2D3为8条数据输入线,A1和A0为选择输入线,1Y、2Y为2条输出线,1G、2G为使能控制端,另外有1条电源线和1条地线。

4路数据选择器的输出函数表达式为

多路选择器有哪些_多路选择器分类介绍

式中,mi为选择变量构成的最小项。

多路选择器工作方式

工作原理是 你给A1A0一组信号 比如1 0 那么就相当于给了他一个2进制数字2 也就相当于选通了D2这个输入端

这个时候 输出Y 输出的就是D2的信号

D2是啥 Y就输出啥

多路选择器有哪些_多路选择器分类介绍

多路选择器在长距离传输中的应用

在下面这个例子中,要完成2位BCD数从甲地到乙地的传输并能显示这2个数。

如图1所示,2位十进制数(BCD码)B3B2B1B0(十位)和A3A2A1A0(个位)分别进入74LS157的2个数据输入端,数据选择输入端G1接30Hz的方波。

在方波为低电平时,G1=0,数A(A3A2A1A0)被多路选择器选中,从输出端正输出,七段译码器74LS48(输出高电平有效)接收此BCD码后译码输出同时送给2位共阴极七段数码显示器,2位数码显示通过74LS139来控制共阴极端的电平,从而使右边数码显示A数,左边数码不显示;当方波为高电平时,G1=1,数B(B3B2B1B0)被数据选择器送入七段译码器,而此时74LS139控制左边的数码显示器显示B数,而右边的数码显示器则不显示。虽然2个数交替显示,由于方波频率较高,视觉上看到的是2个数同时显示。

多路选择器有哪些_多路选择器分类介绍

多路选择器在长距离传输中的应用

可以看出 ,由于使用多路选择器 ,使甲乙两地本来由8 根线完成的数据传输减少了一半连线 ,另外还省去了一个七段译码器。当要图 1 传送的数据位数较多时 ,通过选择合适的数据选择器可省去更多的连线和译码器。

声明:本文内容及配图由入驻作者撰写或者入驻合作网站授权转载。文章观点仅代表作者本人,不代表电子发烧友网立场。文章及其配图仅供工程师学习之用,如有内容侵权或者其他违规问题,请联系本站处理。 举报投诉
  • 多路选择器
    +关注

    关注

    1

    文章

    22

    浏览量

    6439
收藏 人收藏

    评论

    相关推荐

    一文解析多路选择器的工作原理及电路实现

    本文开始介绍多路选择器的概念和在FPGA中多路选择器结构,其次介绍
    的头像 发表于 04-27 08:46 5.7w次阅读
    一文解析<b class='flag-5'>多路</b><b class='flag-5'>选择器</b>的工作原理及电路实现

    教你学Vivado—以2选1多路选择器为例

    在EGO1开发板上实现2选1多路选择器
    的头像 发表于 10-02 15:58 1388次阅读
    教你学Vivado—以2选1<b class='flag-5'>多路</b><b class='flag-5'>选择器</b>为例

    数据选择器

    。具体来说,在多路数据传送过程中,能够根据需要将其中任意一路选出来的电路,叫做数据选择器,也称多路选择器多路开关。用途是什么呢?它是一种通
    发表于 05-30 17:38

    请问stm32控制4选1多路选择器的程序吗

    求大神提供stm32控制4选1多路选择器的程序参考,单片机9,10引脚控制多路选择器
    发表于 01-16 06:35

    EDA四选一多路选择器的设计

    多路选择器(又称为数据选择器)①功能在选择变量控制下,从多路输入数据中选中某一路数据送至输出端。对于一个具有2n个输入和1个输出的
    发表于 04-12 09:17

    4选1多路选择器是什么?

    前言随着人工智能的不断发展,机器学习这门技术也越来越重要,很多人都开启了学习机器学习,本文就介绍了机器学习的基础内容。提示:以下是本篇文章正文内容,用always块、连续赋值assign和门级原语三种方法完成同一功能一、4选1多路选择器
    发表于 02-09 06:00

    基于FPGA的多路选择器设计(附代码)

    开关。 · 二选一多路选择器 二选一多路选择器的数据输入两个,分别为dataa和datab。为了能够确定
    发表于 03-01 17:10

    八选一多路选择器Verilog代码及仿真结果MUX_8

    八选一多路选择器 Verilog代码 附仿真结果(modelsim仿真)
    发表于 03-28 15:27 32次下载

    4选1多路选择器电路图(四款多路选择器电路)

    本文主要介绍了四款4选1多路选择器电路图。多路选择器是数据选择器的别称。在
    发表于 04-27 09:37 13.2w次阅读
    4选1<b class='flag-5'>多路</b><b class='flag-5'>选择器</b>电路图(四款<b class='flag-5'>多路</b><b class='flag-5'>选择器</b>电路)

    设计一个1位的二选一多路选择器及其VHDL描述

    本文首先介绍了二选一多路选择器真值表,其次介绍了1位二选一多路选择器设计及其VHDL描述,最后
    的头像 发表于 04-27 09:52 2.8w次阅读
    设计一个1位的二选一<b class='flag-5'>多路</b><b class='flag-5'>选择器</b>及其VHDL描述

    eda四选一多路选择器的设计

    本文开始对多路选择器进行了详细介绍,其中包括了多路选择器功能、典型芯片及应用,另外还详细介绍了e
    发表于 04-27 10:13 3w次阅读
    eda四选一<b class='flag-5'>多路</b><b class='flag-5'>选择器</b>的设计

    8选1多路选择器电路图(五款8选1多路选择器电路)

    多路选择器又称数据选择器。8选1数据选择器(型号有74151、74LS151、74251、74LS152),下面就以74LS151为例子,介绍
    发表于 04-28 17:25 12.1w次阅读
    8选1<b class='flag-5'>多路</b><b class='flag-5'>选择器</b>电路图(五款8选1<b class='flag-5'>多路</b><b class='flag-5'>选择器</b>电路)

    Verilog HDL之多路选择器设计

    在数字信号的传输过程中,有时需要从多路输入数据中选出某一路数据,完成此功能的逻辑器件称为数据选择器,即所谓多路开关,简称MUX(Multiplexer)。2选1多路
    发表于 07-20 08:56 4008次阅读
    Verilog HDL之<b class='flag-5'>多路</b><b class='flag-5'>选择器</b>设计

    写出一个包含触发器和多路选择器的子模块

    我们用3个包含触发器和多路选择器的子模块来实现图中电路。题目要求我们写出包含一个触发器和一个多路选择器的子模块。
    的头像 发表于 11-17 09:37 757次阅读

    基于FPGA的多路选择器设计

    组合逻辑电路的输出信号只与当前时刻的输入信号有关,与其他时刻的输入状态无关,无存储电路或反馈电路。多路选择器是在多路数据传送过程中,根据需要选择一条电路。如果还没看懂功能,结合真值表就
    的头像 发表于 05-12 12:47 872次阅读
    基于FPGA的<b class='flag-5'>多路</b><b class='flag-5'>选择器</b>设计