0
  • 聊天消息
  • 系统消息
  • 评论与回复
登录后你可以
  • 下载海量资料
  • 学习在线课程
  • 观看技术视频
  • 写文章/发帖/加入社区
创作中心

完善资料让更多小伙伴认识你,还能领取20积分哦,立即完善>

3天内不再提示

芯片后仿之SDF 3.0解析(三)

全栈芯片工程师 来源:全栈芯片工程师 2024-04-16 11:08 次阅读

本文接着解析SDF3.0的Timing Checks Entries、Timing Environment Entries两个部分。

(一)SDF3.0 Timing Checks主要分以下两种:

VCS/NC-Verilog仿真在timing violation时报出warning;

Timing Sign-Off工具报出timing check violations;

以时序分析工具Sign-Off为主,后仿为辅,SDF3.0 Timing Checks具体的类型如下:

Setup Timing Check

3a7f892a-fb1a-11ee-a297-92fbcf53809c.png

Hold Timing Check

3a9371ba-fb1a-11ee-a297-92fbcf53809c.png

SetupHold Timing Check

注意,示例中~reset必须为真(Ture),timing check才会进行,此外,12是建立时间要求,9.5是保持时间要求。

3a974114-fb1a-11ee-a297-92fbcf53809c.png

Recovery Timing Check

3aafcbda-fb1a-11ee-a297-92fbcf53809c.png

Removal Timing Check

3aba92a4-fb1a-11ee-a297-92fbcf53809c.png

Recovery/Removal Timing Check

示例中,recovery time为1.5个time unit,removal time为0.8个time unit。

3ac68988-fb1a-11ee-a297-92fbcf53809c.png

Skew Timing Check

3ad34830-fb1a-11ee-a297-92fbcf53809c.png

Width Timing Check

示例中,第一个minimum pulse width检查是posedge clock驱动的high phase;第二个minimum pulse width检查是negedge clock驱动的low phase;

3adfc3b2-fb1a-11ee-a297-92fbcf53809c.png

Period Timing Check

示例中,两个连续上升沿之间或两个连续下降沿之间的最小Cycle时间。

3af9813a-fb1a-11ee-a297-92fbcf53809c.png

No Change Timing Check

示例中,addr提前write下降沿4.5个time unit, addr晚于write上升沿3.5个time unit。

3b0c13b8-fb1a-11ee-a297-92fbcf53809c.png

(二)SDF3.0 Timing Environment Entries

SDF3.0 Timing Environment Entries分成Constraints与Timing Environment两个部分,首先解析Constraints。

1.Constraints

首先,SDF3.0 Timing Environment包括以下几类constraints:

a)Path Constraint 针对timing analysis中发现的关键路径添加的约束,PR工具可以利用这些约束优化physical design,该约束指定路径的最大延时; 如下图, y.z.i3是path起点,a.b.o1是path终点,25.1是起点和终点之间的maximum rise delay,15.6是起点和终点之间的maximum fall delay。

3b2cb384-fb1a-11ee-a297-92fbcf53809c.png

3b4322fe-fb1a-11ee-a297-92fbcf53809c.png

b)Period Constraint

时钟树上common clock到其驱动的leaf cell的路径的最大延迟约束。

3b4e11a0-fb1a-11ee-a297-92fbcf53809c.png

3b5ffa8c-fb1a-11ee-a297-92fbcf53809c.png

c)Sum Constraint

顾名思义,指的是几条路径的延时之和。示例中,约束两条net的延时之和小于67.3个time unit。

3b7239b8-fb1a-11ee-a297-92fbcf53809c.png

3b9670ee-fb1a-11ee-a297-92fbcf53809c.png

d)Skew Constraint

3ba9d1c0-fb1a-11ee-a297-92fbcf53809c.png

3bbc3888-fb1a-11ee-a297-92fbcf53809c.png

SDF3.0 Timing Environment Entries分成Constraints与Timing Environment两个部分,上文解析了Constraints,现在解析Timing Environment。Timing Environment包含以下4点约束

a)Arrival Time

3bc6508e-fb1a-11ee-a297-92fbcf53809c.png

b)Departure Time

3be1c3c8-fb1a-11ee-a297-92fbcf53809c.png

c)Slack Time

3bf5f7d0-fb1a-11ee-a297-92fbcf53809c.png

d)Waveform Specification

3c131b30-fb1a-11ee-a297-92fbcf53809c.png



审核编辑:刘清

声明:本文内容及配图由入驻作者撰写或者入驻合作网站授权转载。文章观点仅代表作者本人,不代表电子发烧友网立场。文章及其配图仅供工程师学习之用,如有内容侵权或者其他违规问题,请联系本站处理。 举报投诉
  • 仿真
    +关注

    关注

    50

    文章

    3872

    浏览量

    132167
  • VCS
    VCS
    +关注

    关注

    0

    文章

    78

    浏览量

    9497
  • 时序分析
    +关注

    关注

    2

    文章

    126

    浏览量

    22473
  • 时钟树
    +关注

    关注

    0

    文章

    51

    浏览量

    10661

原文标题:芯片后仿之SDF 3.0解析(三)

文章出处:【微信号:全栈芯片工程师,微信公众号:全栈芯片工程师】欢迎添加关注!文章转载请注明出处。

收藏 人收藏

    评论

    相关推荐

    Fatal: SDF files require Altera primitive library

    求教,我在用modelsim-altera进行时序仿真时老是遇到错误:** Fatal: SDF files require Altera primitive library。我用
    发表于 01-15 00:16

    ISE 生成的sdf文件和在综合前的约束有关系么?

    对于一个rtl设计,ISE place & route 之后会生成sdf文件,那么,如果在综合之前对 rtl设计,添加一定的约束,所生成sdf文件是否有变化?sdf文件和在综合前的约束文件有关系么?
    发表于 02-09 15:19

    关于USB3.0芯片设计的问题

    最近在研究USB3.0,使用USB3.0芯片,做好板子,插上电脑会提示重置失败,无法识别的设备,晶振起初起振,一会就不起振,但是USB2.0信号去可以正常传输,,,?请问大神是哪里
    发表于 05-18 15:09

    仿出现了10种警告。。。求助

    仿出现了x态,前仿过了。现在还没带sdf呢,考虑是异常的warning,但我警告太多了。。。有的警告有一百多个,截图如下。各位大神帮忙看下,是哪种警告让我
    发表于 05-30 16:10

    运行实现时序仿真重新生成sdf文件

    / I1(0:0:0)(0:0:0))当我重新运行实现时序仿真时,该sdf文件将重新生成实际/先前的延迟约束。有没有办法保留编辑过的sdf文件并模拟而不重新生成它?提前致谢以上来自于谷歌翻译以下为原文
    发表于 11-07 11:30

    使用Modelsim SE 10.0b模拟后置和路径模型找不到SDF文件

    我想使用Modelsim SE 10.0b来模拟后置和路径模型。后置和路径模型由ISE 13.1生成。但我找不到SDF文件。你能告诉我如何找到SDF文件吗?或者13.1中有另一个格式文件。以上
    发表于 02-13 07:11

    X_BUF在SDF中具有零延迟是为什么?

    我用netgen生成了一个PAR网表和一个SDF文件。在模拟中,我已经看到来自LUT并通过X_BUF的特定信号没有延迟!意味着X_BUF输入端的信号与X_BUF的输出相比具有零延迟。检查SDF文件
    发表于 06-08 16:29

    如何将PT产生的SDF文件反标设计进行仿真?

    RT,现在后端已把PR数据导出交付给了前端,我想问问,如何将PT产生的SDF文件反标设计进行仿真呢?现在知道需要将SDF文件引入到testbench里,所以问题很简单如何在testbench里加
    发表于 06-23 06:50

    怎样用calibreview格式提取参数做仿?有哪几种方法

    哪一种仿方法是正确的?您的意思是如果要把A、B、C这种cell放在一起仿真就必须要用到config吗?(C应该是calibre吧)顺便请教一下hierarchy-editor建立的这个config具体是干嘛用的?就只是为了数
    发表于 06-24 07:08

    SDF是什么?有何应用

    SDF-4.0隧道施工风机技术***隧道施工风机(SDF)系列
    发表于 09-02 09:09

    NodeMCU V3.0 Arduino开发点灯测试程序

    NodeMCU V3.0 Arduino开发点灯测试程序手头到了一个NodeMCU v3.0,3.0版本和2.0差别不大,只是v2.0串口芯片是CP2101,而v
    发表于 11-01 06:29

    ZigBee3.0数据包解析

    ZigBee3.0是ZigBee联盟推出的可以互联互通的标准协议,用之前的Packet Sniffer抓包工具是无法解析ZigBee3.0的数据包,因ZigBee3.0的安全机制所有的
    发表于 02-28 11:48 2368次阅读
    ZigBee<b class='flag-5'>3.0</b>数据包<b class='flag-5'>解析</b>

    解析SDF的Header Section信息与Cell Entries信息

    SDF文件是在VCS/NC-Verilog后仿真运行时将STD/IO/Macro门级verilog中specify的延迟信息替换为QRC/Star-RC抽取的实际物理延时信息。
    的头像 发表于 05-06 09:54 1665次阅读
    <b class='flag-5'>解析</b><b class='flag-5'>SDF</b>的Header Section信息与Cell Entries信息

    详解芯片SDF文件 MCU芯片全流程设计

    SDF文件是在VCS/NC-Verilog后仿真运行时将STD/IO/Macro门级verilog中specify的延迟信息替换为QRC/Star-RC抽取的实际物理延时信息。
    发表于 05-08 10:30 7282次阅读
    详解<b class='flag-5'>芯片</b><b class='flag-5'>SDF</b>文件 MCU<b class='flag-5'>芯片</b>全流程设计

    芯片后仿之SDF 3.0解析

    SDF文件是在VCS/NC-Verilog后仿真运行时将STD/IO/Macro门级verilog中specify的延迟信息替换为QRC/Star-RC抽取的实际物理延时信息,所以如果SDF文件
    的头像 发表于 12-18 09:56 491次阅读
    <b class='flag-5'>芯片</b>后仿之<b class='flag-5'>SDF</b> <b class='flag-5'>3.0</b><b class='flag-5'>解析</b>