0
  • 聊天消息
  • 系统消息
  • 评论与回复
登录后你可以
  • 下载海量资料
  • 学习在线课程
  • 观看技术视频
  • 写文章/发帖/加入社区
创作中心

完善资料让更多小伙伴认识你,还能领取20积分哦,立即完善>

3天内不再提示

怎样查看input/output delay是否生效

XL FPGA技术交流 来源:易灵思FPGA技术交流 作者:易灵思FPGA技术交流 2024-02-27 08:38 次阅读

通过get_port命令查看接口

get_ports *

7e167974-d508-11ee-b759-92fbcf53809c.png

以LVDS的输入输出为例

怎样去查看outputdelay

set_output_delay -clock hdmi_rx_slow_clk -reference_pin [get_ports {hdmi_rx_slow_clk~CLKOUT~218~131}] -min -0.140 [get_ports {tmds_data0_o[*]}]
在tcl command Console中输入以下语句,可以查看到它的约束情况。

report_timing -through [get_pins {tmds_data1_o[*]~FF|Q}] -hold

Tmds_data1_o是一个LVDS的输出接口,hold使用的是min 值,setup使用的是max值 。如果有多条路径可以使用-npaths.

7e39ee18-d508-11ee-b759-92fbcf53809c.png

可以看到在约束中已经把Output Delay在Caputure Path中减去。当然我认为加在Launch Path Delay中也是可以的。

怎样去查看input delay是否生效

set_input_delay -clock hdmi_rx_slow_clk -reference_pin [get_ports {hdmi_rx_slow_clk~CLKOUT~1~283}] -max 0.512 [get_ports {hdmi_rx_d0_RX_DATA[*]}]set_input_delay -clock hdmi_rx_slow_clk -reference_pin [get_ports {hdmi_rx_slow_clk~CLKOUT~1~283}] -min 0.342 [get_ports {hdmi_rx_d0_RX_DATA[*]}]set_input_delay -clock hdmi_rx_slow_clk -reference_pin [get_ports {hdmi_rx_slow_clk~CLKOUT~1~208}] -max 0.512 [get_ports {hdmi_rx_d1_RX_DATA[*]}]set_input_delay -clock hdmi_rx_slow_clk -reference_pin [get_ports {hdmi_rx_slow_clk~CLKOUT~1~208}] -min 0.342 [get_ports {hdmi_rx_d1_RX_DATA[*]}]set_input_delay -clock hdmi_rx_slow_clk -reference_pin [get_ports {hdmi_rx_slow_clk~CLKOUT~1~225}] -max 0.512 [get_ports {hdmi_rx_d2_RX_DATA[*]}]set_input_delay -clock hdmi_rx_slow_clk -reference_pin [get_ports {hdmi_rx_slow_clk~CLKOUT~1~225}] -min 0.342 [get_ports {hdmi_rx_d2_RX_DATA[*]}]

在tcl command Console中输入以下语句,可以查看到它的约束情况。 report_timing -from [get_ports {hdmi_rx_d0_RX_DATA[*]}] -hold hdmi_rx_d0_RX_DATA[*]是一个lvds的输入接口,hold使用的是min 值,setup使用的是max值 。如果有多条路径可以使用-npaths.

7e436e52-d508-11ee-b759-92fbcf53809c.png

可以看到在约束中已经把Input Delay在Launch Path中加上。

对于单端

当HPD_N是同步IO时。

这里使用的时钟是osc_clk

7e4e5ab0-d508-11ee-b759-92fbcf53809c.png

HPD_N是一个单端非同步输出信号

create_clock -period 25 [get_ports {osc_clk}]

create_clock -period 25 -name vir_osc_clk

set_output_delay -clock vir_osc_clk -max 1.2 [get_ports {HPD_N}] set_output_delay -clock vir_osc_clk -min 1.0 [get_ports {HPD_N}] 在tcl command Console中输入以下语句,可以查看到它的约束情况。

report_timing -through [get_nets {HPD_N}]
7e6ea644-d508-11ee-b759-92fbcf53809c.png 从时序报告中我们可以看到launch clock path delay是2.071,它的值是GBUF的延时,而capture clock path delay是0,说明参考点是在GBUF之前 。 HDMI_5V_N是单端输入信号 添加约束

set_input_delay -clock vir_osc_clk  -max 2.0 [get_ports {HDMI_5V_N}]set_input_delay -clock vir_osc_clk  -min 1.8 [get_ports {HDMI_5V_N}]
通过以下指令来打印信息

report_timing -from [get_ports {HDMI_5V_N}]

使用npaths可以打印出多条路径。

程序上实现如下:

assign hdmi_rx_hpd_n = ~hdmi_rx_5v_n ? 1'b0 : rx_hpd;

7e7f305e-d508-11ee-b759-92fbcf53809c.png

第二条

7e8e28b6-d508-11ee-b759-92fbcf53809c.png

三态信号

report_timing -from [get_ports {FPGA_HDMI_SCL_IN}]

7e9881d0-d508-11ee-b759-92fbcf53809c.png

report_timing -to [get_nets {FPGA_HDMI_SDA_OE}]

7ea29dc8-d508-11ee-b759-92fbcf53809c.png

审核编辑 黄宇

声明:本文内容及配图由入驻作者撰写或者入驻合作网站授权转载。文章观点仅代表作者本人,不代表电子发烧友网立场。文章及其配图仅供工程师学习之用,如有内容侵权或者其他违规问题,请联系本站处理。 举报投诉
  • 接口
    +关注

    关注

    33

    文章

    7643

    浏览量

    148520
  • Output
    +关注

    关注

    0

    文章

    31

    浏览量

    10416
  • Delay
    +关注

    关注

    0

    文章

    10

    浏览量

    10775
收藏 人收藏

    评论

    相关推荐

    什么是output_delay

    顾名思义,output_delay就是指输出端口的数据相对于参数时钟边沿的延时。
    的头像 发表于 09-26 10:07 2991次阅读

    Input DelayOutput Delay的反思 - 1

    电路分析
    皮特派
    发布于 :2022年12月07日 11:45:03

    Input DelayOutput Delay的反思 - 2

    电路分析
    皮特派
    发布于 :2022年12月07日 11:45:50

    FPGA静态时序分析——IO口时序(Input Delay /output Delay

    FPGA静态时序分析——IO口时序(Input Delay /output Delay)1.1概述  在高速系统中FPGA时序约束不止包括内部时钟约束,还应包括完整的IO时序约束和时序
    发表于 04-25 15:42

    如何确定DST是否生效

    使用一天的周功能,适用于任何一年。然后,我查看月份,以确定当前第0天是否是进行更改的那一天。我需要做的是在开始时确定DST是否有效。现在,当DST的状态发生变化时,我将新的状态保存到Flash并在启动时
    发表于 09-18 12:28

    IC中inout port需要同时设置input_delayoutput_delay吗?

    请教:IC中inout port 需要同时设置input_delayoutput_delay吗?
    发表于 06-25 06:37

    input_delay应该设置为多少?

    1、如下图所示,当CLK1为100MHz时,约束set_input_delay -clocks CLK1 -max 5sig_a,如果CLK1变成50MHz,需要保证的约束效果不变,此时
    发表于 07-22 07:11

    Input and Output Capacitor Sel

    ABSTRACTWhen designing with switching regulators, application requirements determine howmuch input
    发表于 11-16 17:05 36次下载

    AD9514: 1.6 GHz Clock Distribution IC, Dividers, Delay Adjust, Three Output Data Sheet

    AD9514: 1.6 GHz Clock Distribution IC, Dividers, Delay Adjust, Three Output Data Sheet
    发表于 01-28 09:58 8次下载
    AD9514: 1.6 GHz Clock Distribution IC, Dividers, <b class='flag-5'>Delay</b> Adjust, Three <b class='flag-5'>Output</b> Data Sheet

    Vivado中如何做set_input_delay约束

    在STA中,要分析上游器件和FPGA之间的时序关系就得指定input delay
    的头像 发表于 02-19 19:32 2679次阅读
    Vivado中如何做set_<b class='flag-5'>input_delay</b>约束

    Vivado中如何做set_input_delay约束

    在STA中,要分析上游器件和FPGA之间的时序关系就得指定input delay
    的头像 发表于 02-16 16:21 2515次阅读
    Vivado中如何做set_<b class='flag-5'>input_delay</b>约束

    详解FPGA的时序input delay约束

    本文章探讨一下FPGA的时序input delay约束,本文章内容,来源于配置的明德扬时序约束专题课视频。
    发表于 05-11 10:07 3595次阅读
    详解FPGA的时序<b class='flag-5'>input</b> <b class='flag-5'>delay</b>约束

    FPGA的时序input delay约束

    本文章探讨一下FPGA的时序input delay约束,本文章内容,来源于明德扬时序约束专题课视频。
    的头像 发表于 07-25 15:37 2485次阅读
    FPGA的时序<b class='flag-5'>input</b> <b class='flag-5'>delay</b>约束

    Virtual Input/Output IP核的几个重要参数

    大家好!今日给大家介绍下Virtual Input/Output IP 核的几个重要参数。
    的头像 发表于 06-01 09:18 844次阅读
    Virtual <b class='flag-5'>Input</b>/<b class='flag-5'>Output</b> IP核的几个重要参数

    set_output_delay的本质是什么?浅谈set_ouput_delay时序

    set_output_delay是对模块output信号在模块外部延迟的约束,本质上EDA工具会根据约束调整内部器件(UFF0)的类型,摆放位置以及组合逻辑(C1)以满足约束要求,即EDA工具保证模块DUA的UFF0的Tclk2q+Tc1延时能够满足约束要求。
    的头像 发表于 08-12 09:48 1044次阅读
    set_<b class='flag-5'>output_delay</b>的本质是什么?浅谈set_ouput_<b class='flag-5'>delay</b>时序