0
  • 聊天消息
  • 系统消息
  • 评论与回复
登录后你可以
  • 下载海量资料
  • 学习在线课程
  • 观看技术视频
  • 写文章/发帖/加入社区
创作中心

完善资料让更多小伙伴认识你,还能领取20积分哦,立即完善>

3天内不再提示

FPGA中时钟的用法

FPGA技术驿站 来源:FPGA技术驿站 2024-01-11 09:50 次阅读

生成时钟包括自动生成时钟(又称为自动衍生时钟)和用户生成时钟。自动生成时钟通常由PLL或MMCM生成,也可以由具有分频功能的时钟缓冲器生成如7系列FPGA中的BUFR、UltraScale系列FPGA中的BUFGCE_DIV/BUFG_GT以及Versal中的MBUFG/BUFG_GT等。对于这类时钟,Vivado会自动创建时钟,并不需要用户手工通过create_generated_clock创建。

案例1:单端时钟

这是比较典型的场景,如下图所示:时钟由全局时钟管脚进入经IBUF驱动MMCM以及BUFG。此时只用在输入时钟管脚处(图中红色椭圆标记)创建时钟即可,MMCM生成时钟如图中端口CLKOUT0,Vivado会自动创建。注意主时钟的位置在sysClk对应的全局时钟管脚处,不是MMCM的输出端口,也不是BUFG的输出端口。

12d5d8ba-b016-11ee-8b88-92fbcf53809c.png

12f51c20-b016-11ee-8b88-92fbcf53809c.png

案例2:差分时钟

如下图所示,差分时钟转单端驱动MMCM,这里主时钟为clk_pin_p(图中红色方框所示),因此只用对该端口施加create_clock命令。

1300d9ca-b016-11ee-8b88-92fbcf53809c.png

使用create_clock时,对于差分时钟,施加对象为差分的P端而不是N端,同时只用对P端使用该命令,如下图所示。

130e7b7a-b016-11ee-8b88-92fbcf53809c.png

有了上述约束,工具就会自动推断出MMCM生成时钟,这可通过report_clocks命令查看并验证,如下图所示(需要打开综合后的网表)。

131cb6fe-b016-11ee-8b88-92fbcf53809c.png

案例3:7系列FPGA中高速收发器的TXOUTCLK/RXOUTCLK

如下图所示,7系列FPGA中高速收发器的TXOUTCLK,输出端口接BUFG。这时要在TXOUTCLK处施加命令create_clock,因此,要用get_pins而不是get_ports。

13238718-b016-11ee-8b88-92fbcf53809c.png

审核编辑:汤梓红

声明:本文内容及配图由入驻作者撰写或者入驻合作网站授权转载。文章观点仅代表作者本人,不代表电子发烧友网立场。文章及其配图仅供工程师学习之用,如有内容侵权或者其他违规问题,请联系本站处理。 举报投诉
  • FPGA
    +关注

    关注

    1602

    文章

    21320

    浏览量

    593201
  • 缓冲器
    +关注

    关注

    6

    文章

    1566

    浏览量

    44879
  • 时钟
    +关注

    关注

    10

    文章

    1479

    浏览量

    130306
  • 输出端口
    +关注

    关注

    0

    文章

    19

    浏览量

    10117

原文标题:create_clock你用对了吗?

文章出处:【微信号:Lauren_FPGA,微信公众号:FPGA技术驿站】欢迎添加关注!文章转载请注明出处。

收藏 人收藏

    评论

    相关推荐

    FPGA异步时钟设计的同步策略

    摘要:FPGA异步时钟设计如何避免亚稳态的产生是一个必须考虑的问题。本文介绍了FPGA异步时钟设计
    发表于 04-21 16:52

    大型设计FPGA的多时钟设计策略

    本帖最后由 mingzhezhang 于 2012-5-23 20:05 编辑 大型设计FPGA的多时钟设计策略 利用FPGA实现大型设计时,可能需要
    发表于 05-23 19:59

    请问AD9684DCO时钟用法

    咨询一个初级A/D问题:AD9684DCO时钟用法FPGA控制)。AD9684与FPGA用LVDS模式接口互联时,
    发表于 08-15 07:53

    请问AD9946DCO时钟用法是?

    菜鸟刚刚设计ADC,想问一下,AD9946DCO时钟用法FPGA控制)?是不是给FPGA处理输入的data[15..0]提供
    发表于 10-10 15:39

    使用FPGA时钟资源小技巧

    把握DCM、PLL、PMCD和MMCM知识是稳健可靠的时钟设计策略的基础。赛灵思在其FPGA中提供了丰富的时钟资源,大多数设计人员在他们的FPGA设计
    发表于 04-25 07:00

    FPGA的全局时钟怎么用啊

    FPGA的全局时钟是什么?什么是第二全局时钟?在FPGA的主配置模式,CCLK信号是如何产生的?
    发表于 11-01 07:26

    GW1NRF系列FPGA产品特性和特殊用法

    使用高云®半导体 GW1NRF 系列 FPGA 产品做电路板设计时需遵循一系列规则。本文档详细描述了 GW1NRF 系列 FPGA 产品相关的一些器件特性和特殊用法,并给出校对表用于指导原理图
    发表于 09-28 08:53

    AD9684与FPGA用LVDS模式接口互联时,FPGA端如何使用?

    咨询一个初级A/D问题:AD9684DCO时钟用法FPGA控制)。AD9684与FPGA用LVDS模式接口互联时,
    发表于 12-13 09:01

    怎么使用AD9946DCO时钟

    菜鸟刚刚设计ADC,想问一下,AD9946DCO时钟用法FPGA控制)?是不是给FPGA处理输入的data[15..0]提供
    发表于 12-20 07:54

    DLL在FPGA时钟设计中的应用

    DLL在FPGA时钟设计中的应用:在ISE集成开发环境中,用硬件描述语言对FPGA 的内部资源DLL等直接例化,实现其消除时钟的相位偏差、倍频和分频的功能。
    发表于 11-01 15:10 33次下载

    基于FPGA时钟设计

    FPGA设计中,为了成功地操作,可靠的时钟是非常关键的。设计不良的时钟在极限的温度、电压下将导致错误的行为。在设计PLD/FPGA时通常采用如下四种类型
    发表于 09-21 18:38 3505次阅读
    基于<b class='flag-5'>FPGA</b>的<b class='flag-5'>时钟</b>设计

    DLL在_FPGA时钟设计中的应用

    DLL在_FPGA时钟设计中的应用,主要说明DLL的原理,在Xilinx FPGA中是怎么实现的。
    发表于 10-28 14:25 1次下载

    时钟FPGA设计中能起到什么作用

    时钟FPGA设计中最重要的信号,FPGA系统内大部分器件的动作都是在时钟的上升沿或者下降沿进行。
    发表于 09-20 15:10 5148次阅读
    <b class='flag-5'>时钟</b>在<b class='flag-5'>FPGA</b>设计中能起到什么作用

    Xilinx 7系列FPGA时钟和前几代有什么差异?

    引言:从本文开始,我们陆续介绍Xilinx 7系列FPGA时钟资源架构,熟练掌握时钟资源对于FPGA硬件设计工程师及软件设计工程师都非常重要。本章概述7系列
    的头像 发表于 03-22 10:25 4436次阅读

    FPGA时钟电路结构原理

    FPGA 中包含一些全局时钟资源。以AMD公司近年的主流FPGA为例,这些时钟资源由CMT(时钟管理器)产生,包括DCM、PLL和MMCM等
    发表于 04-25 12:58 53次阅读
    <b class='flag-5'>FPGA</b>的<b class='flag-5'>时钟</b>电路结构原理