0
  • 聊天消息
  • 系统消息
  • 评论与回复
登录后你可以
  • 下载海量资料
  • 学习在线课程
  • 观看技术视频
  • 写文章/发帖/加入社区
创作中心

完善资料让更多小伙伴认识你,还能领取20积分哦,立即完善>

3天内不再提示

基于时分复用光学参量振荡器的5比特全光量子随机数发生器

玻色量子 来源:玻色量子 2024-01-09 11:13 次阅读

2023年11月,北京玻色量子科技有限公司(以下简称“玻色量子”)联合天津大学在学术期刊《Optics Express》上发表了以“5-bit all-optical quantum random number generator based on atime-multiplexed optical parametric oscillator”(基于时分复用光学参量振荡器的5比特全光量子随机数发生器)为题的学术论文。

联合研究团队研制出一种基于时分复用简并点飞秒光学参量振荡器,具备高重复频率飞秒光纤激光器泵浦,通过在谐振腔中接入多通单元,能高效拓展光路,实现5比特全光量子随机数发生器,并能保持系统高度的紧凑性和稳定性。

目前,随机数作为重要的基础资源,在科学计算、密码学、通信等领域有着重要的应用价值。根据产生随机数原理,将产生随机数的工具分为伪随机数发生器和真随机数发生器。其中,20世纪60年代量子理论的兴起为真随机数的产生提供了全新的助力,光量子中的随机特性是随机数发生器的完美来源,真随机数发生器因此得到飞速发展。 而飞秒光学参量振荡器依赖参量过程,通过简并点独特的二值相位分布特性,不需要繁琐的后处理工作,即可生成真随机数,且结构较为简洁,近年来引发了广泛关注。然而,该方法中随机数产生比特数受到腔内信号光循环时间的限制,被局限在单比特输出,如何进一步提升比特数,以满足更加广泛的应用需求是一个急需解决的问题。

由于量子效应的不确定性和不可预测性,量子随机数发生器(QRNG)作为随机数发生器的“新标准”脱颖而出。在过去的数十年里,人们设计并提出了各种类型的QRNG,然而在大多数方案中,繁琐的后处理工作使实际系统复杂化,这给实际应用带来了巨大的挑战。通常,选择随机数提取方法来消除经典噪声,保证状态的纯度是最重要的,这促使联合研究团队提出一个独立于后处理方案的QRNG系统。

此前,简并光学参量振荡器(DOPO)产生了多种有趣的非线性动力学行为,如时间模拟、相变和耗散二次孤子,从应用角度来看,基于二阶非线性过程的DOPOs已被证明能以一种巧妙的全光学方式实现真随机数发生器和相干伊辛机。

论文中,研究团队证明了在DOPO腔中插入多通道单元(MPC)会实现时分多路复用DOPO,从而产生5比特字符串随机数。泵浦激光器设置50 MHz重复频率,DOPO脉冲在∼15m等效线性腔中共振,设计精密。在研究团队设计的系统中,5个时间多路OPO(光学参量振荡器)脉冲位于标记的两种可能相位态中的一个;当增加泵功率超过阈值时,数据流是通过干扰DOPO脉冲与基本的泵浦脉冲来实现的,不需要额外的比特提取。由于二元相以真空波动的形式出现在非线性晶体中,输出呈现不可预测性。通过分析1200比特测量值的分布,由于具备全光特性,该系统具有实现高速、能量生成和位值可扩展的随机数生成的能力。

76a69c1c-ae8b-11ee-8b88-92fbcf53809c.png

(a)所提出的QRNG的实验设置。DOPO包括在空腔内循环的5个信号脉冲。利用迈克尔逊干涉仪测量了DOPO输出脉冲和基本泵浦脉冲的相对相位态。DM:二向色镜面(HR 515,AR 1030),HWP:半波板,M:镜面,MPC:多通单元,OC:输出耦合器,BS:分束器,PD:光电探测器,(b)泵浦和DOPO的脉冲序列说明,其中OPO腔往返时间是泵浦振荡器腔的5倍。

76c496d6-ae8b-11ee-8b88-92fbcf53809c.png

OPO输出光在简并点和非简并点的状态随腔体长度的变化而变化。(a)-(c)表示非简并谱;(d)表示接近简并状态;(e)简并DOPO的输出谱。

76e54566-ae8b-11ee-8b88-92fbcf53809c.png

(a)DOPO输出功率稳定性试验。红线表示10分钟以上的平均功率。(b)输出信号(蓝线)和基础泵(红线)的频谱。

76faa42e-ae8b-11ee-8b88-92fbcf53809c.png

在2 kHz的斩波频率下测量的随机数序列

77155c60-ae8b-11ee-8b88-92fbcf53809c.png

基于简并OPO的全光学RNG的时域测量。(a)-(f)表示在DOPO中通过打开-“打开” 和“关闭”系统的不同输出结果,并伴随着一个10 kbps的时钟信号。“0”和“1”对应于干扰的强度。

77303f6c-ae8b-11ee-8b88-92fbcf53809c.png

QRNG不同结果选项的条件概率。样本量为1200比特,绿线表示理想值中的条件概率值情况插入:分别由蓝色和红色区域表示的序列中位值为0和1的概率。

随机数的产生是通过用光电探测器测量信号与泵浦之间的干涉来实现的,它不需要大量的后处理,简化了系统的复杂性;比特值分布和条件概率的检验都表明了所提出的QRNG具有良好的无偏性能。

由于QRNG比特序列与在DOPO腔中循环的脉冲数直接相关,联合研究团队期望通过扩展自研DOPO方法,可以进一步扩展光学比特序列。例如,通过增加泵浦重复频率和腔长,使谐振器中的脉冲数倍增,有望实现更高的比特率。简单来说,当使用1 GHz 激光掺杂系统作为泵浦源时,可以实现多达100比特。在当前1 GHz比特率的方案中,比特序列可以扩展20倍。此外,研究团队还可以通过使用更快的光调制器控制DOPO,以超过1 MHZ的频率刷新比特序列。未来,这项工作将激发新型多比特光学随机数发生器的研究进展,并进一步促进其在光学计算、光学数据存储和量子信息科学等领域的实际应用。

审核编辑:汤梓红

声明:本文内容及配图由入驻作者撰写或者入驻合作网站授权转载。文章观点仅代表作者本人,不代表电子发烧友网立场。文章及其配图仅供工程师学习之用,如有内容侵权或者其他违规问题,请联系本站处理。 举报投诉
  • 振荡器
    +关注

    关注

    28

    文章

    3518

    浏览量

    137639
  • 字符串
    +关注

    关注

    1

    文章

    552

    浏览量

    20132
  • 随机数发生器

    关注

    0

    文章

    20

    浏览量

    10944

原文标题:发表《Optics Express》玻色量子联合天津大学实现5比特全光量子随机数发生器

文章出处:【微信号:玻色量子,微信公众号:玻色量子】欢迎添加关注!文章转载请注明出处。

收藏 人收藏

    评论

    相关推荐

    【assingle分享】labview随机数发生器

    ,提供了多种不同的函数,下面大概总结一下。一、伪随机数发生器在LABVIEW数值函数选板中,提供了一个随机数发生器函数,返回一个0-1之间的伪随机数
    发表于 04-07 10:27

    【总结】LabVIEW随机数发生器

    ,提供了多种不同的函数,下面大概总结一下。一、伪随机数发生器在LABVIEW数值函数选板中,提供了一个随机数发生器函数,返回一个0-1之间的伪随机数
    发表于 01-30 14:51

    关于硬件电路实现随机数发生器的验证问题,在线急等~~~

    小弟新手,根据项目需要,已经使用了线性同余法编写了一个随机数发生器,我想验证一下它的正确性,如果是16位的随机数还好说,全部生成也就6万多个数据,然后使用MATLAB统计一下他们的概率分布;但是
    发表于 10-10 14:44

    一种基于FPGA的真随机数发生器设计与实现

    统计特性。3 结束语本文尝试了一种纯数字形式的真随机数发生器结构,规模较小、易于移植。电路包含两个振荡环,分为自由振荡和锁存至双稳态两个工作状态。利用
    发表于 10-18 16:27

    如何制作方法简单的真随机数发生器

    大家好请帮助我如何制作方法简单的真随机数发生器(真随机数发生器)从psoc1模拟内部组件(cy8c29466)多谢Kamil 以上来自于百度翻译 以下为原文Hi all Please
    发表于 01-16 10:52

    低功耗MCU RJM8L303的真随机数发生器设计原理和使用方法

    (时间轴上有间隔的不同位置),抖动的幅度是不同的。 图2. 基于振荡器采样的真随机数发生器原理图2中带有抖动的低频振荡器通过D触发采样一个
    发表于 05-25 11:10

    怎么设计基于USB和FPGA的随机数发生器验证平台?

    随机数发生器是信息安全领域不可或缺的重要组成部分,广泛应用于金融、军事等信息安全保密通信的电子设备中。目前,随着对RNG体积、功耗、接口方式等要求的提高,设计集成化芯片或IP核形式的随机数发生
    发表于 08-27 06:05

    Zynq-7000 AP SoC是否具有真正的随机数发生器

    随机数发生器在安全解决方案中起着重要作用。真正的随机数发生器通常由平台支持,例如Exynos 5,OMAP 3,4 SoC系列和飞思卡尔i
    发表于 07-17 14:27

    请问随机数发生器的主要特性和功能以及如何操作?

    随机数发生器简介随机数发生器主要特性随机数发生器功能说明随机
    发表于 11-13 07:51

    随机数发生器的相关资料分享

    00. 目录文章目录00. 目录01. 随机数发生器简介02. 随机数发生器主要特性03. 随机数发生器
    发表于 02-14 07:35

    学习笔记 | 基于FPGA的伪随机数发生器(附代码)

    以及真随机数。本次设计为基于FPGA生成的伪随机数发生器,什么是伪随机数呢?统计学伪随机性指的是在给定的
    发表于 04-21 19:42

    振荡器采样随机数发生器保证网络SoC设计加密算法的安全性

    振荡器采样随机数发生器保证网络SoC设计加密算法的安全性 在保障互联网安全的各种加密算法中,随机数产生至关重要。产生随机数的方法有多种,
    发表于 12-26 14:45 986次阅读

    【STM32】随机数发生器详解

    00. 目录文章目录00. 目录01. 随机数发生器简介02. 随机数发生器主要特性03. 随机数发生器
    发表于 12-08 18:36 10次下载
    【STM32】<b class='flag-5'>随机数</b><b class='flag-5'>发生器</b>详解

    量子随机数发生器之切尔诺贝利骰子

    电子发烧友网站提供《量子随机数发生器之切尔诺贝利骰子.zip》资料免费下载
    发表于 06-19 10:14 1次下载
    <b class='flag-5'>量子</b><b class='flag-5'>随机数</b><b class='flag-5'>发生器</b>之切尔诺贝利骰子

    基于多级反馈环形振荡器的真随机数发生器设计

    电子发烧友网站提供《基于多级反馈环形振荡器的真随机数发生器设计.pdf》资料免费下载
    发表于 09-14 14:56 0次下载
    基于多级反馈环形<b class='flag-5'>振荡器</b>的真<b class='flag-5'>随机数</b><b class='flag-5'>发生器</b>设计