0
  • 聊天消息
  • 系统消息
  • 评论与回复
登录后你可以
  • 下载海量资料
  • 学习在线课程
  • 观看技术视频
  • 写文章/发帖/加入社区
创作中心

完善资料让更多小伙伴认识你,还能领取20积分哦,立即完善>

3天内不再提示

EMIF接口的基本介绍

MCDZ029 来源:被硬件攻城的狮子 2023-12-14 18:14 次阅读

1. 前言

DSPFPGA进行通信用到EMIF接口

EMIF:External Memory Interface外部存储器接口。可实现DSP与不同类型存储器(SRAM、Flash、DDR等)的连接。

2.基本介绍

EMIF分为EMIFA和EMIFB,其中EMIFA接口有64bit宽的数据总线,可连接64/32/16/8bit的器件;EMIFB接口有16bit宽的数据总线,可连接16/8bit的器件。

EMIF如下图:

e01b97e2-9a68-11ee-8b88-92fbcf53809c.png

EMIF信号描述如下:

e02cfa64-9a68-11ee-8b88-92fbcf53809c.png

e04c22f4-9a68-11ee-8b88-92fbcf53809c.png

e073f432-9a68-11ee-8b88-92fbcf53809c.png

3. 连接关系

EMIF接口与外部连接时使用不同的信号。

3.1 与FIFO连接

与FIFO连接主要用到下面的信号:

e08e4de6-9a68-11ee-8b88-92fbcf53809c.png

因为FIFO没有地址线,所以只用到了数据线。

连接示意图如下:

e09d02f0-9a68-11ee-8b88-92fbcf53809c.png

3.2 与BRAM连接

连接示意图如下:

e0b36630-9a68-11ee-8b88-92fbcf53809c.png

3.3 与FLASH连接

连接示意图如下:

e0d6f1c2-9a68-11ee-8b88-92fbcf53809c.png

3.4 与SRAM连接

连接示意图如下:

e0e7e022-9a68-11ee-8b88-92fbcf53809c.png

3.5 与ROM连接

连接示意图如下:

e0fa6328-9a68-11ee-8b88-92fbcf53809c.png

3.6 与SDRAM连接

连接示意图如下:

e11551f6-9a68-11ee-8b88-92fbcf53809c.png

3.7 与SBSRAM连接

连接示意图如下:

e1316738-9a68-11ee-8b88-92fbcf53809c.png

审核编辑:汤梓红

声明:本文内容及配图由入驻作者撰写或者入驻合作网站授权转载。文章观点仅代表作者本人,不代表电子发烧友网立场。文章及其配图仅供工程师学习之用,如有内容侵权或者其他违规问题,请联系本站处理。 举报投诉
  • FPGA
    +关注

    关注

    1603

    文章

    21328

    浏览量

    593265
  • 存储器
    +关注

    关注

    38

    文章

    7151

    浏览量

    162004
  • 接口
    +关注

    关注

    33

    文章

    7648

    浏览量

    148521
  • EMIF
    +关注

    关注

    1

    文章

    16

    浏览量

    11184

原文标题:EMIF 接口

文章出处:【微信号:被硬件攻城的狮子,微信公众号:被硬件攻城的狮子】欢迎添加关注!文章转载请注明出处。

收藏 人收藏

    评论

    相关推荐

    基于EMIF接口的DSP控制系统设计

    提出一种DSP 通过EMIF 接口控制复杂系统的方案。通过将DSP 芯片连接多片FPGA,并利用FPGA 与各种外部芯片连接,使得DSP 通过EMIF 接口就能控制各种芯片,实现复杂系
    发表于 06-13 15:55 5607次阅读
    基于<b class='flag-5'>EMIF</b><b class='flag-5'>接口</b>的DSP控制系统设计

    最近在做DM365与FPGA通过EMIF接口进行通信,请问哪里有EMIF的驱动程序?

    如题,最近在做DM365与FPGA通过EMIF接口进行通信,请问哪里有EMIF的驱动程序?
    发表于 06-21 16:33

    TMS320DM365的EMIF接口能否接FIFO

    您好:        我在网上看到有人将EMIF接口与FIFO相连,但是我在看DM365资料的时候,发现里面只说了EMIF与SRAM、FLASH,请问DM365的EMIF
    发表于 06-21 00:51

    请问我的ADC与DSP的EMIF接口设计还有问题吗?

    ,我把其中的一个直接接的高电平使其一直有效,这种接法可以吗?ADC的时序图在附件里面。3、如果能有人帮我看一下ADC与DSP的EMIF接口设计有没有问题就好啦。我设计的ADC与EMIF接口
    发表于 10-28 07:58

    基于EMIF接口的DSP+FPGA系统实现

    基于EMIF接口的DSP控制系统设计
    发表于 04-02 06:41

    EMIF介绍CE空间

    一. EMIF介绍CE空间:dsp的存储空间分为片内和片外两种,片外空间分成四个部分,分别用于映射不同的片外设备,即ce空间 。The remainder of the memory map
    发表于 07-28 09:08

    ZYNQ与DSP之间EMIF16通信介绍说明

    本文主要介绍说明XQ6657Z35-EVM 高速数据处理评估板ZYNQ与DSP之间EMIF16通信的功能、使用步骤以及各个例程的运行效果。[基于TI KeyStone架构C6000系列
    发表于 03-21 15:30

    使用EMIF将Xilinx FPGA与TI DSP平台接口

    使用EMIF将Xilinx FPGA与TI DSP平台接口:本应用指南使用外部存储器接口 (EMIF) 实现了 Xilinx FPGA 到 Texas Instruments 数字信号
    发表于 11-01 15:00 69次下载

    基于EMIF接口的数据采集系统设计

    以 TMS320C6713为控制器,设计和实现基于EMIF接口的数据采集系统。实际上,可以将外部的AD芯片作为外部的数据存储器设备,通过读取存储器数据的方式获得需要采集的数据。主要从硬件和软件两方面介绍
    发表于 02-12 17:05 86次下载
    基于<b class='flag-5'>EMIF</b><b class='flag-5'>接口</b>的数据采集系统设计

    基于EMIF接口的数据采集系统的设计

    由测量数据和控制器的计算结果可知,本设计完成了基于EMIF接口的数据采集系统的设计任务。由系统采集得到的数据误差较小,可以满足各类工程的需求。通过在线调试
    发表于 03-22 18:06 4302次阅读
    基于<b class='flag-5'>EMIF</b><b class='flag-5'>接口</b>的数据采集系统的设计

    通过EMIF接口控制各种芯片的复杂系统设计实现

    TMS320C6722 型DSP的EMIF接口设计初衷是与外部扩展存储器连接,EMIF接口有两种工作方式:SDRAM工作模式与异步工作模式。SDRAM工作模式是专为SDRAM设计的同步
    发表于 07-16 10:26 1.2w次阅读
    通过<b class='flag-5'>EMIF</b><b class='flag-5'>接口</b>控制各种芯片的复杂系统设计实现

    TMS320VC5510DSP外部存储器接口EMIF)的详细资料概述

    本文的主要内容介绍的是TI的产品TMS320VC5510DSP外部存储器接口EMIF)的详细资料概述
    发表于 04-25 16:52 5次下载
    TMS320VC5510DSP外部存储器<b class='flag-5'>接口</b>(<b class='flag-5'>EMIF</b>)的详细资料概述

    TMS320C55x DSP EMIF与TMS320C6000 DSP EMIF存储器接口的特点比较

     本文比较了TMS320C55x DSP外部存储器接口EMIF)和TMS320C6000 DSP EMIF的特点。这两个接口都支持异步存储器、同步突发静态随机存取存储器(SBSRAM
    发表于 05-07 11:01 5次下载
    TMS320C55x DSP <b class='flag-5'>EMIF</b>与TMS320C6000 DSP <b class='flag-5'>EMIF</b>存储器<b class='flag-5'>接口</b>的特点比较

    Arria 10外部存储器接口(EMIF)工具包

    Arria 10外部存储器接口(EMIF)工具包
    的头像 发表于 06-11 17:10 2025次阅读
    Arria 10外部存储器<b class='flag-5'>接口</b>(<b class='flag-5'>EMIF</b>)工具包

    TMS320C67系列DSP的EMIF与异步FIFO存储器的接口设计详细资料介绍

    介绍了TI公司TMS320C67系列DSP的EMIF(外部存储器接口)与异步FIFO(先进先出)存储器的硬件接口设计,着重描述了用EDMA(扩展的直接存储器访问)方式读取FIFO存储器
    发表于 07-31 16:40 20次下载
    TMS320C67系列DSP的<b class='flag-5'>EMIF</b>与异步FIFO存储器的<b class='flag-5'>接口</b>设计详细资料<b class='flag-5'>介绍</b>