0
  • 聊天消息
  • 系统消息
  • 评论与回复
登录后你可以
  • 下载海量资料
  • 学习在线课程
  • 观看技术视频
  • 写文章/发帖/加入社区
创作中心

完善资料让更多小伙伴认识你,还能领取20积分哦,立即完善>

3天内不再提示

数字电路中的竞争冒险现象

CHANBAEK 来源:小小的电子之路 作者:小小的电子之路 2023-09-25 11:50 次阅读

门电路的两个输入信号同时向相反的逻辑电平跳变的现象叫做 竞争 ,这种竞争可能在电路的输出端产生尖峰脉冲,这种现象称为 竞争冒险

竞争冒险产生的根本原因是输入信号通过两条或两条以上的路径传输到输出端,每条路径的延时不同,从而导致信号不能同时到达输出端。接下来,我们就通过几个简单的电路了解一下竞争冒险现象。

1、移位寄存器

首先来看下面一个电路,这个电路简单描述了移位寄存器的工作原理,当时钟上升沿来临的时候,数据会整体向右移动一位。但是现在的问题是:每次时钟有效时,为什么数据只移动一位?

图片

要想解释上面的问题,就需要具体了解一下构成移位寄存器的最小单元—D触发器的行为。下图是一个D触发器的原理图,当有效时钟边沿到来时,其输入端D的值会存入输出端Q。

图片

对上述D触发器进行功能仿真,仿真结果如下图所示,可以看出,当时钟上升沿到来时,触发器的输出Q会等于输入D。

图片

接下来,对D触发器进行更接近真实情况的门级仿真,通过仿真结果可以看到,当时钟上升沿到来时,触发器的输出并没有立马发生变化,而是等待一段时间之后其输出Q才会与输入D相等。

图片

这一段延时称为触发器的 Tco时间,即输出延时,指从触发器有效边沿到来到触发器输出有效的时间差 。这也是移位寄存器每次只能移动一位的原因。

2、计数器

接下来再看另一个电路—计数器电路,该电路由多个逻辑门组合而成,可以实现十六进制计数功能。

图片

对该电路进行功能仿真,可以看出电路功能正常。

图片

同样,对电路进行门级仿真,结果如下,将仿真图放大可以发现,在计数值由0001变为0010的过程中,出现了短暂的0011的计数值。

图片

之所以出现0011这样的计数值,是因为每一位分别由多个不同的逻辑门电路控制,因此,每一位的变化存在一定的延时,这一延时称为 Tpd时间,即传输延时,指****从输入信号有效到输出信号稳定时的时间差

但是,不同位的控制电路的延时是不同的,以上面的现象为例,该计数器第三位的控制电路的延时要比第四位短,因此,第三位先变为1,第四位后变为0,两个变化之间的延时差就导致出现了0011这样的现象,这就是竞争冒险现象。

以当前的时钟频率,上面的现象是不会导致系统出现问题的,因为不稳定状态持续的时间非常短,不会被时钟采样到,但是,如果时钟频率太高,该状态就有可能被采样到,导致系统出错,这就是某些代码运行时时钟频率不能太高的原因之一。

以上就是本次分享的全部内容,谢谢大家!

声明:本文内容及配图由入驻作者撰写或者入驻合作网站授权转载。文章观点仅代表作者本人,不代表电子发烧友网立场。文章及其配图仅供工程师学习之用,如有内容侵权或者其他违规问题,请联系本站处理。 举报投诉
  • 寄存器
    +关注

    关注

    30

    文章

    5028

    浏览量

    117731
  • 仿真
    +关注

    关注

    50

    文章

    3872

    浏览量

    132161
  • 门电路
    +关注

    关注

    7

    文章

    191

    浏览量

    39842
  • 数字电路
    +关注

    关注

    192

    文章

    1396

    浏览量

    79750
  • 输入信号
    +关注

    关注

    0

    文章

    157

    浏览量

    12452
收藏 人收藏

    评论

    相关推荐

    竞争冒险产生的原因,判断方法和避免竞争冒险的方法

    在实际的电路设计过程中,存在传播延时和信号变换延时。由延时引起的竞争冒险现象会影响输出的正确与否。下面将就 竞争
    的头像 发表于 02-18 14:34 1079次阅读
    <b class='flag-5'>竞争</b>与<b class='flag-5'>冒险</b>产生的原因,判断方法和避免<b class='flag-5'>竞争</b>与<b class='flag-5'>冒险</b>的方法

    FPGA竞争冒险的前世今生

    竞争冒险:在组合电路,当逻辑门有两个互补输入信号同时向相反状态变化时,输出端可能产生过渡干扰脉冲的现象,称为
    发表于 02-21 16:26

    数字电路(33)电路竞争冒险现象的产生及消除#硬声创作季

    数字电路
    电子学习
    发布于 :2022年11月10日 09:13:56

    #硬声创作季 数字电路(33)电路竞争冒险现象的产生及消除

    数字电路
    发布于 :2022年11月11日 12:50:49

    FPGA设计竞争冒险问题的研究

     摘 要:以现场可编程门阵列(以下简称FPGA)在设计由于其内部构成,容易引起竞争问题。以我们在实验教学的应用与实践为主线,详细介绍了消除竞争
    发表于 04-21 16:44

    FPGA竞争冒险问题的研究

    FPGA竞争冒险问题的研究
    发表于 08-04 16:16

    请教一个与数字电路有关比较简单的问题,希望大神可以给个详细的答案

    写了个一位全减器,发现不管怎么写,时序电路仿真中的输出都出现延迟的现象,发现延迟在其他的点路都存在,暂时在数字电路书本并没有找到合适的答案,有冒险
    发表于 11-05 23:28

    FPGA竞争冒险现象

    通过改变设计,破坏毛刺产生的条件,来减少毛刺的发生。例如,在数字电路设计,常常采用格雷码计数器取代普通的二进制计数器,这是因为格雷码计数器的输出每次只有一位跳变,消除了竞争冒险的发生
    发表于 08-01 09:53

    什么是竞争冒险现象?怎样判断?如何消除?

     在组合逻辑,由于门的输入信号通路中经过了不同的延时,导致到达该门的时间不一致叫竞争。产生毛刺叫冒险。    如果布尔式中有相反的信号则可能产生竞争
    发表于 08-02 11:57

    FPGA零基础学习:数字电路的组合逻辑

    实战应用,这种快乐试试你就会懂的。话不多说,上货。 数字电路的组合逻辑 根据逻辑功能的不同特点,可以将数字电路分为两大类,一类称为组合逻辑电路(简称组合
    发表于 02-21 15:35

    简谈FPGA的竞争冒险和毛刺问题

    。 但是和所有的数字电路一样,FPGA 电路也存在毛刺问题。它的出现会影响电路工作的可靠性、稳定性,严重时会导致整个数字系统的误动作和
    发表于 05-30 17:15

    FPGA | 竞争冒险和毛刺问题

    影响电路工作的可靠性、稳定性,严重时会导致整个数字系统的误动作和逻辑紊乱。下面就来讨论交流一下FPGA 的竞争冒险与毛刺问题。 在数字电路
    发表于 11-02 17:22

    数字电路的功能冒险是什么

    功能冒险电路的逻辑功能决定的,(什么叫逻辑功能)改变逻辑设计无法解决逻辑冒险
    的头像 发表于 10-05 16:10 6789次阅读

    组合电路中0型冒险和1型冒险及其消除方法

    什么是竞争冒险? (1)竞争 在一个组合电路当中,当某一个变量经过两条以上的路径到达输出端的时候,由于每条路径上的延迟时间的不同,到达终点的时间就会有先有后,这一
    的头像 发表于 06-13 16:56 1.6w次阅读

    数字电路设计中什么时候需要分析竞争冒险

    1. 前言 在数字电路课程中,老师在讲组合逻辑的时候,一般都会讲竞争冒险。sky当时也听的云里雾里,没有想清楚如下问题: 1) 竞争冒险
    的头像 发表于 08-09 14:43 2716次阅读
    <b class='flag-5'>数字电路</b>设计中什么时候需要分析<b class='flag-5'>竞争</b>与<b class='flag-5'>冒险</b>