0
  • 聊天消息
  • 系统消息
  • 评论与回复
登录后你可以
  • 下载海量资料
  • 学习在线课程
  • 观看技术视频
  • 写文章/发帖/加入社区
创作中心

完善资料让更多小伙伴认识你,还能领取20积分哦,立即完善>

3天内不再提示

介绍一种IP控制信号的处理方式

sanyue7758 来源:IC的世界 2023-09-15 09:26 次阅读

ASIC/FPGA项目中,我们会用到很多IP,其中有很多IP存在内部控制信号以及内部状态信号。这些控制信号和内部状态信号影响数据流的接收和发送。

以PCIe为例,蓝色框图部分为PCIe用户侧逻辑,是需要用户实现的逻辑。

左侧白色为PCIe IP,PCIe内部配置空间有一个控制信号,名为bus_master_en(简写),当bus_master_en为0时,不期望用户逻辑发送dma请求(memory读写请求)。

所以在用户逻辑中,我们就需要感知到这些信号的数值,用于控制数据逻辑模块。

fea1dbc4-5317-11ee-a25d-92fbcf53809c.png    

通常我们期望这些信号是可控的,能够灵活配置,既给芯片加了一层保障,又方便debug。

即使对IP或者协议的理解有误,也能通过配置规避大部分问题。

那一种很常见的方式就是,添加一个mux,默认情况下,使用ip驱动的控制信号。

如图所示:

ip_bus_mst_en是ip输出的控制信号。

app_bus_mst_en用于控制内部数据模块。

cfg_mst_en和cfg_mst_sel都来自配置csr模块,可灵活配置成0或者1.

cfg_mst_sel默认值为0,表示选择ip_bus_mst_en,在默认情况下,app_bus_mst_en的数据与ip_bus_mst_en相同

这种设计小技巧在IC项目中比较普遍,但是又时常被忘记。







审核编辑:刘清

声明:本文内容及配图由入驻作者撰写或者入驻合作网站授权转载。文章观点仅代表作者本人,不代表电子发烧友网立场。文章及其配图仅供工程师学习之用,如有内容侵权或者其他违规问题,请联系本站处理。 举报投诉
  • FPGA
    +关注

    关注

    1602

    文章

    21320

    浏览量

    593195
  • 控制器
    +关注

    关注

    112

    文章

    15223

    浏览量

    171189
  • FPGA设计
    +关注

    关注

    9

    文章

    425

    浏览量

    26273
  • CSR
    CSR
    +关注

    关注

    3

    文章

    117

    浏览量

    69430
  • Mux
    Mux
    +关注

    关注

    0

    文章

    37

    浏览量

    23221
  • PCIe接口
    +关注

    关注

    0

    文章

    111

    浏览量

    9529

原文标题:IC设计:一种IP控制信号的处理方式

文章出处:【微信号:处芯积律,微信公众号:处芯积律】欢迎添加关注!文章转载请注明出处。

收藏 人收藏

    评论

    相关推荐

    求助:请问这两对电源处理方式的区别和它们的用法。

    请问这两对电源处理方式的区别和它们的用法。
    发表于 07-24 16:43

    一种基于FPGA的振动信号采集处理系统设计介绍

    越来越力不从心。  以FPGA为代表的可编程逻辑器件以其工作稳定、速度快、灵活的可编程能力等特点,获得了越来越广泛应用。本文提出了一种基于FPGA的振动信号采集处理系统;该系统具有实时性高,纠错能力强等
    发表于 07-01 06:11

    PCB过孔阻焊的处理方式

    `请问PCB过孔阻焊的处理方式有哪些?`
    发表于 12-31 15:28

    一种开源的NET系统推荐

    。Microsoft .NET Remoting 提供了一种允许对象通过应用程序域与另对象进行交互的框架,一种分布式处理方式。MES(Manufacturing Execution.
    发表于 07-01 06:20

    介绍一种计算机远程控制装置

    【技术实现步骤摘要】一种计算机远程控制装置:本技术涉及一种计算机远程控制装置。技术介绍:计算机是现代一种
    发表于 09-01 07:04

    串口IDLE中断的处理方式是什么

    如何对串口DMA进行初始化呢?串口IDLE中断的处理方式是什么?
    发表于 12-08 07:28

    按键消抖都有哪些处理方式

    按键消抖都有哪些处理方式除了硬件消抖电路用软件怎么实现
    发表于 11-01 07:06

    光盘销毁机的处理方式

    光盘销毁机的处理方式         
    发表于 12-31 10:50 4090次阅读

    无铅PCB的设计方法和表面处理方式选择等介绍

    和形状,钢网和焊盘的关系能符合最佳焊接的温度。 2、设计方法和细节的处理: 避免出现焊接立碑的情况,所以在设计时候对器件的受热要考虑周全,保证每个器件受热均匀。 3、表面处理方式的选择: 不同的表面处理方式对成本和加工
    发表于 09-27 11:51 0次下载

    介绍学习一种模拟信号处理方式:对数处理

    非常大的信号如1mv~1v,前级电路该如何覆盖处理到上下限而不降低性能呢?切换采集量程是一种最简单的方式,也可通过软件设计成自动控制增益,也
    的头像 发表于 01-11 10:07 1w次阅读
    <b class='flag-5'>介绍</b>学习<b class='flag-5'>一种</b>模拟<b class='flag-5'>信号</b>的<b class='flag-5'>处理方式</b>:对数<b class='flag-5'>处理</b>

    【经验分享】系统常用 (电磁兼容)EMC处理方式

    【经验分享】系统常用 (电磁兼容)EMC处理方式
    发表于 04-17 10:02 35次下载
    【经验分享】系统常用 (电磁兼容)EMC<b class='flag-5'>处理方式</b>

    PCB电镀中异常处理方式

    个人收集的一些异常处理方式
    发表于 08-11 15:01 0次下载

    浅析测力传感器的两种处理方式

    浅析测力传感器的两种处理方式
    的头像 发表于 12-20 17:31 606次阅读
    浅析测力传感器的两种<b class='flag-5'>处理方式</b>

    使用相序识别仪时,常见故障原因以及处理方式概述

    使用相序识别仪时,常见故障原因以及处理方式概述  相序识别仪(Phase Sequence Relay)是一种用于工业和家庭电气系统中的设备,主要用于检测和纠正电源的相序错误。然而,相序识别
    的头像 发表于 12-19 15:04 525次阅读

    模数转换器结束信号的四种处理方式

    模数转换器结束信号的四种处理方式  模数转换器(ADC)是将模拟信号转换为数字信号的设备。在ADC操作过程中,结束信号非常重要,它指示了模数
    的头像 发表于 02-03 16:41 372次阅读