0
  • 聊天消息
  • 系统消息
  • 评论与回复
登录后你可以
  • 下载海量资料
  • 学习在线课程
  • 观看技术视频
  • 写文章/发帖/加入社区
创作中心

完善资料让更多小伙伴认识你,还能领取20积分哦,立即完善>

3天内不再提示

DDR3缓存模块仿真平台构建步骤

FPGA快乐学习 来源:FPGA快乐学习 2023-08-12 11:08 次阅读

1. 复制Vivado工程路径vivado_prjat7.srcssources_1ipmig_7series_0下的mig_7series_0文件夹。粘贴到仿真路径testbench b_ddr3_cache(新建用于DDR3仿真的文件夹)下。

2. 拷贝一个glbl.v文件到testbench b_ddr3_cachemig_7series_0example_designsim文件夹下。

3. 打开testbench b_ddr3_cachemig_7series_0example_designsim文件夹下的sim.do文件中。进行编译的文件路径需要做移植修改。

将vlib work以及后面的内容删除

复制下面的脚本到sim.do中。

vlib work

vmap work work

vlog -work workglbl.v

#Compile all modules#

vlog../../../../../design/m_ddr3_cache.v

vlog../../../../../vivado_prj/at7.srcs/sources_1/ip/fifo_ddr3_write/fifo_ddr3_write_sim_netlist.v

vlog../rtl/traffic_gen/mig_7series*.v

vlog../rtl/example_top.v

vlog ../../user_design/rtl/mig_7series_0.v

vlog../../user_design/rtl/mig_7series_0_mig_sim.v

vlog -incr../../user_design/rtl/clocking/*.v

vlog -incr../../user_design/rtl/controller/*.v

vlog -incr../../user_design/rtl/ecc/*.v

vlog -incr../../user_design/rtl/ip_top/*.v

vlog -incr../../user_design/rtl/phy/*.v

vlog -incr../../user_design/rtl/ui/*.v

#Compile files in sim folder (excluding model parameterfile)#

vlog *.vh

vlog *.sv

vlog *.v

#Pass the parametersfor memory model parameter file#

vlog -sv +define+x2Gb+define+sg15E +define+x16 ddr3_model.sv

#Load the design. Userequired libraries.#

vsim -voptargs=+acc-L unisims_ver -L unisim -L work -Lfunisims_ver +notimingchecks -Lsecureipwork.glblwork.sim_tb_top

add wavesim:/sim_tb_top/uut_m_ddr3_cache/*

4. 打开testbench b_ddr3_cachemig_7series_0example_designsim文件夹下的sim_tb_top.v文件(测试脚本),进行必要的修改,将用户设计移植到这个测试脚本中。

example_top模块的例化可以用用户设计模块替代,接口一一映射即可。

5. 打开modelsim,点击菜单Compile--> Compile options,修改Verilog &SystemVerilog下,勾选Use SystemVerilog选项。

6. 打开run_simulation.bat文件,增加新的仿真自动运行项。

@echo off

@cls

title FPGA Auto Simulation batch script

echo ModelSim simulation

echo.

echo Press '1' to start tb_pll simulation

echo.

echo Press '2' to start tb_fifo_img simulation

echo.

echo Press '3' to start tb_image_capture simulation

echo.

echo Press '4' to start tb_fifo_ddr3_write simulation

echo.

echo Press'5' to start tb_ddr3_cache simulation

echo.

:input

set INPUT=

set /P INPUT=Type test number: %=%

if "%INPUT%"=="1" goto run1

if "%INPUT%"=="2" goto run2

if "%INPUT%"=="3" goto run3

if "%INPUT%"=="4" goto run4

if"%INPUT%"=="5" goto run5

goto end

:run1

@cls

echo Start tb_pll Simulation;

echo.

echo.

cd testbench/tb_pll

vsim -do "do compile.do"

gotoclean_workspace

:run2

@cls

echo Start tb_fifo_img Simulation;

echo.

echo.

cd testbench/tb_fifo_img

vsim -do "do compile.do"

gotoclean_workspace

:run3

@cls

echo Start tb_image_capture Simulation;

echo.

echo.

cd testbench/tb_image_capture

vsim -do "do compile.do"

gotoclean_workspace

:run4

@cls

echo Start tb_fifo_ddr3_write Simulation;

echo.

echo.

cd testbench/tb_fifo_ddr3_write

vsim -do "do compile.do"

gotoclean_workspace

:run5

@cls

echo Starttb_ddr3_cache Simulation;

echo.

echo.

cdtestbench/tb_ddr3_cache/mig_7series_0/example_design/sim

vsim -do"do sim.do"

gotoclean_workspace

:clean_workspace

rmdir /S /Q work

del vsim.wlf

del transcript.

:end






审核编辑:刘清

声明:本文内容及配图由入驻作者撰写或者入驻合作网站授权转载。文章观点仅代表作者本人,不代表电子发烧友网立场。文章及其配图仅供工程师学习之用,如有内容侵权或者其他违规问题,请联系本站处理。 举报投诉
  • DDR3
    +关注

    关注

    2

    文章

    268

    浏览量

    41792
  • 缓存器
    +关注

    关注

    0

    文章

    63

    浏览量

    11579
  • FIFO存储
    +关注

    关注

    0

    文章

    102

    浏览量

    5895
  • MODELSIM仿真
    +关注

    关注

    0

    文章

    15

    浏览量

    7239
  • Verilog语言
    +关注

    关注

    0

    文章

    113

    浏览量

    8160

原文标题:Verilog边码边学 Lesson47 图像采集与显示设计之DDR3缓存模块仿真平台构建【免费视频】

文章出处:【微信号:FPGA快乐学习,微信公众号:FPGA快乐学习】欢迎添加关注!文章转载请注明出处。

收藏 人收藏

    评论

    相关推荐

    DDR3、4拓扑仿真

    DDR3DDR
    电子学习
    发布于 :2022年12月07日 22:34:02

    实验教学仿真平台的建设

    以下是课程设计的要求,各位大神帮帮忙呗,小弟实在不会,所以来着请教各位大神,谢谢!{:4_107:}意义:建立与本科《数字信号处理》课理论教学相配套的实验教学仿真平台。要求:a、 构建总体结构框架
    发表于 12-27 13:04

    DDR3内存的PCB仿真与设计

    的完整性。  3仿真分析  对DDR3进行仿真分析是以结合项目进行具体说明:选用PowerPC 64位双核CPU模块,该
    发表于 12-15 14:17

    xilinx平台DDR3设计教程

    看完保证你会做DDR3仿真
    发表于 09-18 14:33

    NIOS II使用DDR3同时运行程序与缓存数据

    在使用NIOS II时,需要用到一片DDR3一边运行程序,同时还要使用该DDR3进行高速数据缓存,不明白同样一片DDR3怎么可以一边运行程序,一边进行数据
    发表于 03-14 09:55

    如何自建仿真DDR3

    自建Spartan6 DDR3仿真平台
    发表于 08-01 06:08

    xilinx平台DDR3设计教程之仿真

    xilinx平台DDR3设计教程之仿真
    发表于 03-12 08:54

    什么是全数字仿真平台

    全数字仿真平台作为工业领域不可缺少的重要软件之一,除了可以与MATLAB或者Simulink集成外,还支持哪些优秀的功能?在了解新一代全数字仿真平台SkyEye之前,先来学习一下什么是全数字仿真平台
    发表于 12-17 07:48

    什么是全数字仿真平台

    全数字仿真平台作为工业领域不可缺少的重要软件之一,除了可以与MATLAB或者Simulink集成外,还支持哪些优秀的功能?在了解新一代全数字仿真平台SkyEye之前,先来学习一下什么是全数字仿真平台
    发表于 12-21 06:42

    OBS系统仿真平台构建及分析

    分析了光突发交换网络核心交换节点的基本交换结构、交换控制模块的主要功能以及核心交换模块的构成;对光突发交换系统进行了仿真平台构建,讨论了系统仿真
    发表于 03-08 18:06 15次下载

    三维虚拟仿真平台,三维虚拟仿真平台是什么意思

    三维虚拟仿真平台,三维虚拟仿真平台是什么意思 1. 概述 三维虚拟仿真平台旨在建设一个具有大范围的海量
    发表于 04-10 11:35 5799次阅读

    Quamtum-SI DDR3仿真解析

    Quamtum-SI DDR3仿真解析 Automated DDR3 Analysis  
    发表于 04-29 09:00 4292次阅读
    Quamtum-SI <b class='flag-5'>DDR3</b><b class='flag-5'>仿真</b>解析

    基于硬件加速的实时仿真平台构建技术

    基于硬件加速的实时仿真平台构建技术_孔璐
    发表于 01-03 17:41 1次下载

    基于SystemC构建多核DSP软件仿真平台

    基于SystemC构建多核DSP软件仿真平台_韦祎
    发表于 01-03 17:41 3次下载

    简述 Cyclone 10 GX DDR3 设计的步骤

    Cyclone 10 GX DDR3 示例设计的步骤
    的头像 发表于 06-20 00:12 5804次阅读
    简述 Cyclone 10 GX <b class='flag-5'>DDR3</b> 设计的<b class='flag-5'>步骤</b>