0
  • 聊天消息
  • 系统消息
  • 评论与回复
登录后你可以
  • 下载海量资料
  • 学习在线课程
  • 观看技术视频
  • 写文章/发帖/加入社区
创作中心

完善资料让更多小伙伴认识你,还能领取20积分哦,立即完善>

3天内不再提示

来看看“不约而同”的2nm时间轴进程

传感器技术 来源:集微网 2023-08-07 16:22 次阅读

从三强争霸到四雄逐鹿,2nm的厮杀声已然隐约传来。

无论是老牌劲旅台积电、三星,还是誓言重回先进制程领先地位的英特尔,甚至初成立不久的新贵日本Rapidus,都将目光锁定在了2025年,豪言实现2nm首发。

看起来,即将到来的2025年不仅是2nm制程的关键一年,更将是代工格局迎来重塑的拐点。只不过,谁能折桂这一荣耀?

争先恐后

来看看“不约而同”的2nm时间轴进程。

作为行业老大,台积电称将如期在2025年上线2nm工艺,2025年下半年进入量产。2nm可谓是台积电的一个重大节点,该工艺将采用纳米片晶体管(Nanosheet),取代FinFET,意味着台积电工艺正式进入GAA时代。

有报道称,台积电在前不久已开始了2nm工艺的预生产,英伟达和苹果有望成为首发客户。

一直在“坐二望一”的三星在3nm率先以GAA开局,在2nm层面自然也志在必得:在其最新公布的第二季度财报中表示,2nm GAA的开发已步入正轨并进展顺利。

在之前三星也公布了2nm量产的具体时间表:自2025年起首先将该技术用于移动终端;到2026年将适用于采用背面供电技术的高性能计算;2027年将其用途扩至汽车芯片。

反观英特尔亦快马加鞭。自宣布实施IDM2.0战略以来,英特尔不遗余力四面出击,着力向“四年五个制程节点”的目标迈进,其中Intel 20A和Intel 18A分别对应2nm和1.8nm制程,英特尔对此寄予厚望,激进宣布Intel 20A计划于2024年上半年投入使用,进展良好的Intel 18A也将提前至2024年下半年进入大批量制造,在时间上誓要先发制人。

作为后来者,承载日本代工业复兴大计的Rapidus亦不甘示弱,前不久公布了最新的生产计划,预计将在2025年试产2nm,采用IBM 2nm GAA技术,目标是2027年大规模量产。

2025年,或将开启2nm的“华山论剑”大戏。不过业内人士表示,谁家率先量产不是最重要的,就如三星率先量产3nm,但首先只是在挖矿芯片上采用,意义不太大,而且每家的2nm也涉及物理尺寸的不同,不能一概而论。

反超机会?

瞄准2nm决战,对于三星还是英特尔来说的重要性还在于,他们均将2nm工艺视为其超越竞争对手并重返先进制程领先地位的关键。

是什么给了他们底气?

从三星来看,由于率先3nm制程中采用GAA架构,在GAA用于先进制程方面拥有了率先量产和磨合的先发优势。此外,三星还开发了MBCFET晶体管专利技术,为其2nm工艺竞争力再添筹码。三星表示,与7nm FinFET相比,MBCFET可将功耗降低50%,性能提高30%,并将晶体管占用面积减少45%,提供了卓越的设计灵活性。

如果说每一代工艺有每一代的“绝活”,那么无疑背面供电(BSPDN)技术将是影响2nm对决之势的一大因素,据称,与 FSPDN前端供电网络相比,BSPDN的性能提高了44%,能效提高了30%,三大巨头也纷纷排兵布阵。

英特尔在这一技术层面看似先行破发。不仅将在Intel 20A制程率先采用RibbonFET架构(相当于GAA架构),还将结合另一突破性技术背面供电PowerVia,这对晶体管微缩至关重要,可解决日益严重的互连挑战,提升芯片性能和能效。通过两大技术的“联合”,英特尔认为这将是新的FinFET 时刻——参考英特尔2012年在22nm引入FinFET的荣光。

值得一提的是,英特尔在第二季度宣布率先在产品级测试芯片上已实现PowerVia,相比台积电和三星领先两年,将为英特尔的反超提供巨大的优势。

有消息称,台积电计划在2026年推出N2P工艺,这一工艺将采用背面供电技术,而且三星也将在2nm工艺采用BSPDN技术。

相较之下,2nm是台积电首次从FinFET转至GAA,在架构迁移上相当于“落后”于三星。尽管台积电宣称,已在N2硅的良率和性能方面都取得了“扎实的进展”,但业内也有质疑说台积电的2nm GAA工艺有良率“翻车”的风险。

知名分析师陆行之在媒体直言,如果台积电研发速度太慢,2nm再跟3nm一样,离5nm间隔3~4年,就很可能被超车,并称“一些设备商比较看好英特尔2nm/1.8nm进度,台积电内部也挺紧张的,到处打探消息”,但他同时也认为台积电有强大的执行力。

饶是如此,台积电的综合实力依旧不容小觑。以赛亚调研(Isaiah Research)认为,台积电和三星有更大的机会率先实现2nm量产,因为这两大巨头过去在先进制程的良率和量产方面表现相对出色。

对此集微咨询也分析,台积电和三星在先进工艺技术领域一步一个脚印,积累更全面、更扎实,向2nm推进过程中相对率先实现的概率更高。英特尔虽实现了7nm,但在5nm和3nm节点层面尚需积累量产和磨合经验,直接跳至2nm扭转局面仍面临一定挑战。

先进封装的X因素

看起来2nm是工艺的决战,但其实先进封装的重要性已然不可忽视。

先进封装与制程工艺可谓相辅相成,其在提高芯片集成度、加强互联、性能优化的过程中扮演了重要角色,成为助力系统性能持续提升的重要保障。为在工艺节点获得更大的赢面,押注先进封装已成为三大巨头的“显性”选择。

近些年来,英特尔、三星和台积电一直在稳步投资先进封装技术,各自表现也可圈可点。

综合来看,在先进封装领域,台积电的领先地位依旧凸显。据了解,台积电在先进封装上已获得了可观的收入体量,技术布局也进入关键节点,未来投入规模将持续加码。尤其是在AI产能需求持续升级之下,台积电正积极扩充第六代2.5D先进封装技术CoWoS产能,将投资约28亿美元打造先进封装厂,预计2026年底建厂完成、2027年第三季开始量产,月产能达11万片12英寸晶圆,涵盖SoIC、InFO以及CoWoS等先进封装技术。

半导体知名专家莫大康就表示,台积电在CoWoS的产能大增,将十分有利于其争取2nm讨单。而时刻保持“两手抓”,也让台积电的护城河愈加深厚。

英特尔也不逞多让。通过多年技术探索,相继推出了EMIB、Foveros和Co-EMIB等多种先进封装技术,在互连密度、功率效率和可扩展性三个方面持续精进。在今年5月,英特尔发布了先进封装技术蓝图,计划将传统基板转为更为先进的玻璃材质基板,以实现新的超越。而且,英特尔也在布局硅光模块中的CPO(共封装光学)技术,以优化算力成本。在先进封装领域,英特尔或可与台积电同台竞技。

三星自然也紧追不舍。针对2.5D封装,三星推出的I-Cube封装技术可与台积电CoWoS相抗衡;3D IC技术方面,三星2020年推出X-Cube封装。此外,三星计划在2024年量产可处理比普通凸块更多数据的X-Cube封装技术,并预计2026年推出比X-Cube处理更多数据的无凸块型封装技术。

对此许然认为,三星在2.5D先进封装方面虽已布局多年,但是前道代工业务较弱,在一定程度上影响了其先进封装业务的进展,客户相对较少。不过随着台积电CoWoS短期内难以满足客户需求,三星有希望能接到部分订单,而且它还拥有唯一拥有从存储器、处理器芯片的设计、制造到先进封装业务组合的优势。

以赛亚调研指出,在先进封装领域,目前更加强调的是异构芯片的整合能力。例如,MI300封装将3nm GPU与5nm CPU芯片整合在一块,这种整合能力对于提高芯片性能和效能至关重要。因而,未来的比拼也将围绕这一能力展开。

全面考验

尽管看似巨头们各有伯仲,但2nm的考验绝不止首发那么简单。莫大康提及,尽管上述巨头技术进阶的路径基本相同,且都采用ASML的高NA***,但无论是良率、客户粘性和服务均将影响2nm量产的进程。

以赛亚调研也提及,各家厂商的量产进程受到多种因素的影响,包括技术难度、资金投入、设备与材料支持等。

“根据目前的评估,台积电与三星将继续是2nm制程的主要代工厂商,因在先进制程的良率和量产规模方面表现出色。英特尔在技术研发方面虽具有一定的优势,但其晶圆代工主要专注于自家产品,对外部客户的合作较为有限,这对突破先进制程的良率和量产稳定性带来了挑战。而日本Rapidus虽拥有强大的研发资源,但主要专注在AI及超级计算机等相关产品,以在日本建立自己的先进工艺供应链、服务日本客户为优先,经济规模的量产还在其次。”以赛亚调研详细解读说。

其中,良率可谓至关重要,毕竟2nm制程晶圆代工报价约为24570美元,成本如此之高低良率真心“伤不起”。

追溯历史,也可以看到,虽然台积电与三星都开始3nm芯片的量产,但就算最领先的台积电也还在苦苦奋战5nm的良率提升。连台积电都不敢保证,何时3nm量产的良率能及格。也因此,日本Rapidus要实现2nm的量产,低良率恐怕会成为致命关键。

而影响良率的因素繁多,集微咨询指出,这涉及高NA***、工艺优化、设计水平、经验等等。“良率需要不断优化提升,如果某家厂商的良率高于竞争对手一个数量级,有可能客户在A家下的单,就会转至B家,变数还是很大的。”

客户的粘性也是诸多变量综合平衡的结果。在客户认可度方面,虽然台积电是众多芯片客户们的首选,但为了供应链安全,客户们也会有自己的Plan B计划。

对于产能过剩的问题,以赛亚调研的结论是,因为2nm的技术研发门槛及单价都偏高,客户要投片时会谨慎考量产品效能与成本间的平衡。在客户有限的情况下,各家晶圆厂的扩产会更多根据客户需求开出,适时调配产能,因此要达到产能过剩的几率不高。

2nm的代工格局走向究竟如何,要看四大厂商的“言之凿凿”到底有多少落到实处了。

声明:本文内容及配图由入驻作者撰写或者入驻合作网站授权转载。文章观点仅代表作者本人,不代表电子发烧友网立场。文章及其配图仅供工程师学习之用,如有内容侵权或者其他违规问题,请联系本站处理。 举报投诉
  • 台积电
    +关注

    关注

    43

    文章

    5276

    浏览量

    164798
  • 封装技术
    +关注

    关注

    12

    文章

    495

    浏览量

    67785
  • 晶体管
    +关注

    关注

    76

    文章

    9054

    浏览量

    135212

原文标题:2nm决战2025

文章出处:【微信号:WW_CGQJS,微信公众号:传感器技术】欢迎添加关注!文章转载请注明出处。

收藏 人收藏

    评论

    相关推荐

    台积电冲刺2nm量产,2nm先进制程决战2025

    电子发烧友网报道(文/李宁远)近日有消息称,台积电将组建2nm任务团冲刺2nm试产及量产。根据相关信息,这个任务编组同时编制宝山及高雄厂量产前研发(RDPC)团队人员,将成为协助宝山厂及高雄厂厂务
    的头像 发表于 08-20 08:32 2163次阅读
    台积电冲刺<b class='flag-5'>2nm</b>量产,<b class='flag-5'>2nm</b>先进制程决战2025

    台积电2nm芯片研发迎新突破

    台积电已经明确了2nm工艺的量产时间表。预计试生产将于2024年下半年正式启动,而小规模生产则将在2025年第二季度逐步展开。
    的头像 发表于 04-11 15:25 292次阅读

    苹果2nm芯片曝光,性能提升10%-15%

    据媒体报道,目前苹果已经在设计2nm芯片,芯片将会交由台积电代工。
    的头像 发表于 03-04 13:39 349次阅读

    台积电2nm制程进展顺利

    台中科学园区已初步规划A14和A10生产线,将视市场需求决定是否新增2nm制程工艺。
    的头像 发表于 01-31 14:09 296次阅读

    台积电在2nm制程技术上展开防守策略

    台积电的2nm技术是3nm技术的延续。一直以来,台积电坚定地遵循着每一步一个工艺节点的演进策略,稳扎稳打,不断突破。
    发表于 01-25 14:14 130次阅读

    为什么IO都用上拉电阻,没有用下拉电阻的呢?

    IO大家都不约而同的用上拉电阻,为何没有用下拉电阻的呢?
    发表于 01-18 08:20

    三大芯片巨头角逐2nm技术

    过去数十年里,芯片设计团队始终专注于小型化。减小晶体管体积,能降低功耗并提升处理性能。如今,2nm及3nm已取代实际物理尺寸,成为描述新一代芯片的关键指标。
    的头像 发表于 12-12 09:57 234次阅读

    2nm意味着什么?2nm何时到来?它与3nm有何不同?

    3nm工艺刚量产,业界就已经在讨论2nm了,并且在调整相关的时间表。2nm工艺不仅对晶圆厂来说是一个重大挑战,同样也考验着EDA公司,以及在此基础上设计芯片的客户。
    的头像 发表于 12-06 09:09 838次阅读

    将铜互连扩展到2nm的研究

    晶体管尺寸在3nm时达到临界点,纳米片FET可能会取代finFET来满足性能、功耗、面积和成本目标。同样,正在评估2nm铜互连的重大架构变化,此举将重新配置向晶体管传输电力的方式。
    的头像 发表于 11-14 10:12 208次阅读
    将铜互连扩展到<b class='flag-5'>2nm</b>的研究

    温控labview上位机,用波形图表实时显示温度数据,波形图表的时间轴时间变化不固定

    温控labview上位机,用波形图表实时显示温度数据,波形图表的时间轴时间变化Δt不固定,不是一秒获得一个数据显示,请问这是labview的问题,还是stm32芯片问题,还是keil编程问题,该怎么解决
    发表于 10-23 09:12

    2nm芯片什么时候出 2nm芯片手机有哪些

    2nm芯片什么时候出 2nm芯片什么时候出这个问题目前没有相关官方的报道,因此无法给出准确的回答。根据网上的一些消息台积电于6月16日在2022年度北美技术论坛上首次宣布,将推出下一代先进工艺制程
    的头像 发表于 10-19 17:06 906次阅读

    2nm芯片是什么意思 2nm芯片什么时候量产

    2nm芯片是什么意思 2nm芯片指的是采用了2nm制程工艺所制造出来的芯片,制程工艺的节点尺寸表示芯片上元件的最小尺寸。这意味着芯片上的晶体管和其他电子元件的尺寸可以达到2纳米级别。 更小的节点尺寸
    的头像 发表于 10-19 16:59 2292次阅读

    2nm芯片工艺有望破冰吗?

    芯片2nm
    亿佰特物联网应用专家
    发布于 :2023年10月11日 14:52:41

    台积电放弃28nm工厂,改建2nm

    据了解,台积电已将高雄厂敲定2nm计划向经济部及高雄市政府提报,希望政府协助后续供水及供电作业。因2nm制程将采用更耗电的极紫外光(EUV)微影设备,耗电量比位于南科的3nm更大,台积电高雄厂改为直接切入
    的头像 发表于 07-18 15:19 725次阅读
    台积电放弃28<b class='flag-5'>nm</b>工厂,改建<b class='flag-5'>2nm</b>?

    2nm大战 全面打响

    在芯片制造领域,3nm方兴未艾,围绕着2nm的竞争已经全面打响。
    的头像 发表于 06-28 15:58 498次阅读
    <b class='flag-5'>2nm</b>大战 全面打响