0
  • 聊天消息
  • 系统消息
  • 评论与回复
登录后你可以
  • 下载海量资料
  • 学习在线课程
  • 观看技术视频
  • 写文章/发帖/加入社区
创作中心

完善资料让更多小伙伴认识你,还能领取20积分哦,立即完善>

3天内不再提示

采用matlab来完成对FIR滤波器的设计

冬至子 来源:MYMINIEYE 作者:范龙飞 2023-07-03 17:24 次阅读

一 .滤波器介绍

滤波器是一种用来减少,消除干扰的电器部件,有对特定频率的频点或该频点以外的频率信号进行有效滤除,从而实现消除干扰、获取特定频率信号的功能。数字滤波器相比模拟滤波器,有着更高的精度、信噪比、无可比拟的可靠性。

FIR,IIR是数字滤波器中最常见的两种滤波器。 FIR滤波器是有限冲击响应,最主要特点是没有反馈回路,故不存在不稳定的问题,很容易做到严格的线性相位特性,另外设计方式是线性的,硬件容易实现,对于抽取和插入,结构简单,很容易得到高速流水线的设计,有定义明确的量化噪声,并且有较低的系数和算法四舍五入误差预算,但是其高滤波器长度的实现需要大量的工作量及资源;IIR滤波器是无限冲击响应,最主要的特点是可以用较低的阶数,获得高的选择性,所用的存储单元少,计算量小,效率高。但是这样的效率是以非线性相位为代价的,选择性越好,相位非线性越严重。本文以FIR为例介绍数字滤波器的设计及验证。

二.FIR滤波器设计

采用matlab的Filter Design 来完成对FIR滤波器的设计,打开matlab,在命令行输入filterDesigner,进入到设计页面,如下

图片

对滤波器的设计主要涉及三个点:通带,阻带,过渡带。

主要的参数有以下5个:

Fpass:通带上限截至频率,Apass:带内平坦度;

Fstop:阻带下限截至频率,Astop:带外抑制幅度;

Fs:数据率

输入数据的速率为9.14MHz,通带上限截止频率为2M,由于考虑到镜像干扰,阻带截至频率设为2.2M,阶数选择128阶,滤波器阶数可以根据需要设置,也可以设置带内平坦度和带外抑制幅度,来选择所需最小阶数,达到自己需要的精度即可。设置完成之后,如下:

图片

滤波器设计完成之后需要对滤波器的系数进行定点量化,选择按钮3,定义16位的数据位宽,其中15位为小数。如下:

图片

点击Filter Design菜单栏的Targets选项下拉菜单的Generate C Header和XILINX Coefficient(.COE) File,分别导出的是C的头文件和.coe文件(滤波器系数文件),C的头文件如下

图片

Coe文件为:

图片

这里选择产生Generate C Header,产生滤波器系数,此处没有选择coe文件,是因为当vivado IP核使用coe方式导入时,vivado不能区分小数位。

三.vivado FIR IP核介绍

1.设置滤波器的属性 :打开vivado IP核,直接填入滤波器的系数,此处系数需要量化为小数,左边为滤波器的增益,和matlab一致,如下:

图片

滤波器的类型包括单速率(Single Rate,即数据输出与输入速率相同),抽取(Decimation)和插值(Interpolation)应用于多速率信号处理系统,此外还支持希尔伯特变换(Hilbert)模式。抽取型一般多用于数字前端下变频抽取样值降低AD采样速率,插值型用于常用于提高采样速率。由于需要对AD数据进行抽取滤波,这里选择抽取类型,抽取的倍数为2倍。

2.设置FIR滤波器的通道 ,由于只使用一个通道,因此交织通道序列(Channel Sequence)选择Basic,通道数(Number of Channels)为1;Hardware Oversampling Specification中设置过采样模式,选择Input Sample Period,即输入采样周期,也可以通过输入采样频率和时钟频率来设置,设置如下:

图片

3.滤波器量化设置

填入滤波器系数之后,选择量化的位数,输入的数据位宽为16,小数位数为15,如果全精度输出,输出位宽为33,对后续的处理很不方便,输出也需要进行相应的截尾,因此设置为:

图片

4.FIR滤波器在FPGA上实现资源选取的情况,一般采用系统默认即可。

5.对外输入和对外输入接口情况, 这里只添加复位信号,可以根据自己的实际需求,添加信号。

图片

6.Summary是对FIR滤波器设计的总结,所使用的类型功能,滤波器阶数等,最后点击生成FIR IP核。

四.FIR 滤波器实现

1.C模型使用

Vivado提供了FIR IP核的C仿真模型,但是不能直接再matlab上运行,需要搭建仿真环境,根据自己使用的matlab选择不同的版本。搭建完成之后在matlab命令窗口输入mex-setup,出现以下提示表示安装成功

图片

然后找到IP核C模型,在vivado工程FIR IP核的目录下的cmodel的文件夹,FIR_demo.srcs\\sources_1\\ip\\fir_compiler_0\\cmodel,其中有两个文件,lin64是linux版本的,nt64是windows版本的,选择nt64解压。

图片

matlab打开解压的文件夹,输入run make_fir_compiler_v7_2_mex,出现以下表示运行成功。

图片

最后要调用的文件是run_fir_compiler_v7_2_mex.m,这个文件可以作为参考使用,部分内容为:

图片

作如下修改:

图片

其中FIR参数设置要和vivado中的IP核配置完全相同,否则会出现比对数据不一致的情况,具体参数配置见下表

各类型对应的数值选择

图片

2.Modelsim 仿真

仿真时需要注意,采用的抽取类型,数据速率和时钟速率不一样,每隔8个时钟周期采一个数据,然后输入到FIR IP核,输出是每隔16个时钟周期输出一个数据,输出延迟可在FIR IP核Summary界面得知,模块时序如下:

图片

3.数据对比

滤波器的截至频率为2MHz,用matlab产生一个1MHz和3MHz的混合信号,分别输入C仿真模型和modelsim进行仿真,得到的结果进行对比如下:

图片

可以清楚的看到输出的结果是一致的,通过比较matlab和modelsim输出的数据,发现也是一致的,相减之后为零,验证了滤波器的正确性。

声明:本文内容及配图由入驻作者撰写或者入驻合作网站授权转载。文章观点仅代表作者本人,不代表电子发烧友网立场。文章及其配图仅供工程师学习之用,如有内容侵权或者其他违规问题,请联系本站处理。 举报投诉
  • 滤波器
    +关注

    关注

    158

    文章

    7334

    浏览量

    174829
  • MATLAB仿真
    +关注

    关注

    4

    文章

    174

    浏览量

    19640
  • fir滤波器
    +关注

    关注

    1

    文章

    92

    浏览量

    18717
  • Vivado
    +关注

    关注

    18

    文章

    790

    浏览量

    65107
  • FPGA开发板
    +关注

    关注

    10

    文章

    119

    浏览量

    31329
收藏 人收藏

    评论

    相关推荐

    【安富莱——DSP教程】第36章 FIR滤波器Matlab设计

    第36章FIR滤波器Matlab设计 本章节讲解FIR滤波器Matlab设计。主要是函数
    发表于 07-10 16:30

    基于FPGA的FIR滤波器设计

    设计要求: 利用所学知识,采用VHDL语言完成FIR滤波器的设计仿真。要求用VHDL编程设计底层文件,顶层文件可任意(可用原理图方式或文本方式);
    发表于 09-18 14:54

    FIR滤波器与IIR滤波器的区别与特点

    matlab下设计IIR滤波器程序流程框图IIR与FIR数字滤波器的比较:1、从性能上比较 从性能上来说,IIR滤波器传输函数的极点可位于单
    发表于 08-08 08:49

    并行FIR滤波器Verilog设计

    采用FIR滤波器。线性相位系统的意义,这里的线性相位指的是在设计者关心的通带范围内,LTI系统满足线性相位要求:从延时的角度看:保证了输入信号的相位响应是线性的,即保证了输入信号的延时特性。从相位
    发表于 09-25 17:44

    如何利用stm32去完成FIR滤波器的设计呢

    FIR数字滤波器的基本特性有哪些?如何利用stm32去完成FIR滤波器的设计呢?有哪些步骤?
    发表于 11-18 06:39

    fir滤波器的设计和实现

    对于fir滤波器,已经在前面的文章中记录了仿制DIY&关于MATLAB滤波器设计工具的使用心得记录),其设计和实现都非常简单。如果在嵌入式系统中可以满足且有必要实时iir运算,那么
    发表于 12-22 08:29

    基于MATLAB与QUARTUS II的FIR滤波器设计与验

    基于MATLAB与QUARTUS II的FIR滤波器设计与验证 FIR滤波器是一种应用广泛的基本数字信号处理元件。
    发表于 05-13 17:16 53次下载

    MATLAB设计FIR滤波器的方法

    MATLAB设计FIR滤波器的方法 摘  要 介绍了利用MATLAB信号处理工具箱进行FIR滤波器
    发表于 01-16 18:12 1.5w次阅读
    用<b class='flag-5'>MATLAB</b>设计<b class='flag-5'>FIR</b><b class='flag-5'>滤波器</b>的方法

    基于MATLAB与QUARTUS II的FIR滤波器设计与验

    基于MATLAB与QUARTUS II的FIR滤波器设计与验证 1 引言    FIR数字滤波器能够满足
    发表于 12-12 11:23 2425次阅读
    基于<b class='flag-5'>MATLAB</b>与QUARTUS II的<b class='flag-5'>FIR</b><b class='flag-5'>滤波器</b>设计与验

    基于MatlabFIR带通滤波器设计与实现

    本文通过介绍一种借助Matlab的FDATOOL滤波器设计分析软件,设计了一种FIR数字带通滤波器,并对一段含噪语音信号进行滤波。利用汇编语
    发表于 07-26 10:45 2.9w次阅读
    基于<b class='flag-5'>Matlab</b>的<b class='flag-5'>FIR</b>带通<b class='flag-5'>滤波器</b>设计与实现

    FIR数字滤波器MATLAB仿真和DSP的实现

    分析了数字滤波器的原理,介绍了采用窗体函数法完成FIR数字滤波器,包括MATLAB仿真和DSP的
    发表于 06-09 16:21 156次下载

    基于Matlab/Simulink的FIR数字滤波器的设计与实现

    基于Matlab/Simulink的FIR数字滤波器的设计与实现。
    发表于 01-15 15:16 38次下载

    基于matlab和fpga的FIR滤波器设计

    基于matlab和fpga的FIR滤波器设计,有兴趣的同学可以下载学习
    发表于 04-27 15:51 56次下载

    基于MATLABFIR滤波器设计与滤波

    基于MATLABFIR滤波器设计与滤波
    发表于 12-14 22:08 63次下载

    Matlab辅助DSP实现FIR数字滤波器

    Matlab辅助DSP实现FIR数字滤波器
    发表于 10-20 09:34 5次下载
    <b class='flag-5'>Matlab</b>辅助DSP实现<b class='flag-5'>FIR</b>数字<b class='flag-5'>滤波器</b>