0
  • 聊天消息
  • 系统消息
  • 评论与回复
登录后你可以
  • 下载海量资料
  • 学习在线课程
  • 观看技术视频
  • 写文章/发帖/加入社区
创作中心

完善资料让更多小伙伴认识你,还能领取20积分哦,立即完善>

3天内不再提示

Cadence基于AI的Cadence Virtuoso Studio设计工具获得认证

Cadence楷登 来源:Cadence楷登 2023-06-30 10:08 次阅读

●Samsung Foundry 有众多 PDK 系列,可搭配 Virtuoso Studio 用于简化模拟、定制和射频设计,最高支持 SF 2nm 技术

●Virtuoso Studio 与 Pegasus Verification System 和 Voltus-XFi Solution 集成,为 Samsung PDK 用户提高了生产力,帮助他们更快地将高质量设计推向市场

中国上海,2023 年 6 月 30 日——楷登电子(美国 Cadence 公司NASDAQ:CDNS)近日宣布,基于 AI 的 CadenceVirtuosoStudio 设计工具和解决方案已获得 Samsung Foundry 认证双方的共同客户可以放心利用 Virtuoso Studio 和 Samsung 的成熟先进节点制程设计套件(PDK),最高可支持 SF2 工艺,以推进新一代模拟、定制、射频和混合信号设计。

Cadence 产品集成将为使用 Samsung PDK 的用户提供极大便利,如 Virtuoso Studio 和 Cadence PegasusVerification System 集成,有助于加快周转时间,提高设计周期的可预测性,还可以使用设计规则检查(DRC)、电路布局验证(LVS)、层次化金属填充(HMF)插入和可制造性设计DFM)签核。此外,Pegasus Verification Solution 支持设计同步物理验证,可在 Virtuoso Studio Layout 中提供更广泛的扩展,并涵盖了 Pegasus DRC 和填充功能,可将周转时间加快 4 倍。

Cadence Voltus-XFi Custom Power Integrity Solution 同样与 Virtuoso Studio 集成,现已针对 Samsung Foundry 的先进工艺技术经过优化和验证。这让客户可以信心十足地通过 Samsung Foundry PDK 采用先进的、面向未来的定制 IC 设计流程。此外,能效和设计性能也有所提升。Cadence Voltus-XFi power-grid-view(PGV)宏模型无缝集成到 Voltus IC Power Integrity Solution,再加上 Virtuoso Studio 平台,将为客户提供全芯片级电源完整性签核。

“我们与 Cadence 持续合作,运用最新技术为双方的共同客户提供支持,帮助他们设计和验证新一代超大规模计算、移动、汽车和人工智能产品,”Samsung Electronics 执行副总裁兼代工厂设计赋能团队负责人 Sei Seung Yoon 说,“Cadence 和 Samsung 之间的合作为客户提供了出色的解决方案,有助于加快 Samsung 所有工艺技术的设计收敛,其中包括我们最先进的 SF 3nm 和 SF 2nm 技术。”

“我们与 Samsung 的持续合作使客户能够受益于更先进的半导体设计、验证和制造技术,要打造满足当今新兴应用需求的集成电路,这些技术必不可少,”Cadence 公司高级副总裁兼定制与封装事业部总经理 Tom Beckley 表示,“基于 AI 的 Virtuoso Studio 获得了 Samsung 认证之后,客户可以利用 Samsung Foundry 工艺技术所带来的高性能优势,成功设计新的集成电路。”

声明:本文内容及配图由入驻作者撰写或者入驻合作网站授权转载。文章观点仅代表作者本人,不代表电子发烧友网立场。文章及其配图仅供工程师学习之用,如有内容侵权或者其他违规问题,请联系本站处理。 举报投诉
  • 集成电路
    +关注

    关注

    5321

    文章

    10737

    浏览量

    353395
  • Cadence
    +关注

    关注

    62

    文章

    881

    浏览量

    140788
  • AI
    AI
    +关注

    关注

    87

    文章

    26451

    浏览量

    264068

原文标题:Cadence 基于 AI 的 Virtuoso Studio 获得 Samsung Foundry PDK 成熟和先进节点认证

文章出处:【微信号:gh_fca7f1c2678a,微信公众号:Cadence楷登】欢迎添加关注!文章转载请注明出处。

收藏 人收藏

    评论

    相关推荐

    Cadence发布全新Celsius Studio AI热分析平台

    楷登电子(Cadence Design Systems)今日宣布推出了一款业界领先的产品——Cadence® Celsius™ Studio。这款产品是业内首个完整的用于电子系统的AI
    的头像 发表于 02-03 11:32 639次阅读

    Cadence宣布收购Invecas

    提供商 Invecas, Inc.。此次收购使 Cadence 获得了一支技术精湛的设计工程团队,他们拥有为客户提供跨芯片设计、产品工程、先进封装和嵌入式软件的定制解决方案专业知识。
    的头像 发表于 01-11 12:26 549次阅读

    Virtuoso Studio Device-Level自动布局布线解决方案

    基于 Cadence 30 年的行业知识和领先地位,全新人工智能定制设计解决方案 Virtuoso Studio 采用了多项创新功能和新的基础架构,实现无与伦比的生产力,以及超越经典设计界限的全新集成水平。在本文中,您将了解优异
    的头像 发表于 01-09 12:22 601次阅读
    <b class='flag-5'>Virtuoso</b> <b class='flag-5'>Studio</b> Device-Level自动布局布线解决方案

    Cadence 数字和定制/模拟设计流程获 TSMC 最新 N2 工艺认证

    的生产力 基于 AI 驱动的 Virtuoso Studio 的定制/模拟流程支持电路优化,功能经过增强,可将设计迁移吞吐量提升 3 倍 双方的共同客户正在积极使用 N2 PDK 来开发 AI
    的头像 发表于 10-10 16:05 300次阅读

    Cadence 定制/模拟设计迁移流程加速 TSMC 先进制程技术的采用

    AI 驱动的 Cadence Virtuoso Studio 助力 IC 设计在 TSMC 的制程技术之间实现迁移时自动优化电路 ●  新的生成式设计技术可将设计迁移时间缩短
    的头像 发表于 09-27 10:10 347次阅读

    Cadence推出全新一代AI IP和软件工具

    中国上海,2023 年 9 月 20 日——楷登电子(美国 Cadence 公司,NASDAQ:CDNS)近日宣布推出新一代 AI IP 和软件工具,以满足市场对设备端和边缘 AI
    的头像 发表于 09-20 10:07 565次阅读

    Cadence Virtuoso版图设计工具Virtuoso CIW界面介绍

    Cadence Virtuoso定制设计平台的一套全面的集成电流(IC)设计系统,能够在多个工艺节点上加速定制IC的精确芯片设计,其定制设计平台为模拟、射频及混合信号IC提供了极其方便、快捷而精确的设计方式。
    的头像 发表于 09-11 15:14 3980次阅读
    <b class='flag-5'>Cadence</b> <b class='flag-5'>Virtuoso</b>版图设<b class='flag-5'>计工具</b>之<b class='flag-5'>Virtuoso</b> CIW界面介绍

    Cadence 与 Arm 合作,成功利用 Cadence AI 驱动流程加速 Neoverse V2 数据中心设计

    内容提要 ● Cadence 优化了其 AI 驱动的 RTL-to-GDS 数字流程,并为 Arm Neoverse V2 平台提供了相应的 5nm 和 3nm 快速应用工具包(RAK),助力设计人
    的头像 发表于 09-05 12:10 3200次阅读

    Virtuoso Studio 大神集结!寻找对平台了如指掌的你(第四期)

    关于 Virtuoso Studio  人们对智能化极致的需求让芯片设计面临着巨大压力,一方面是复杂的芯片设计流程,另一方面是产品快速迭代下紧迫的上市时间。为了解决这些问题,Cadence 日前推出
    的头像 发表于 09-01 12:20 480次阅读
    <b class='flag-5'>Virtuoso</b> <b class='flag-5'>Studio</b> 大神集结!寻找对平台了如指掌的你(第四期)

    Virtuoso Studio 大神集结!寻找对平台了如指掌的你(第三期)

    关于 Virtuoso Studio  人们对智能化极致的需求让芯片设计面临着巨大压力,一方面是复杂的芯片设计流程,另一方面是产品快速迭代下紧迫的上市时间。为了解决这些问题,Cadence 日前推出
    的头像 发表于 07-11 12:15 256次阅读
    <b class='flag-5'>Virtuoso</b> <b class='flag-5'>Studio</b> 大神集结!寻找对平台了如指掌的你(第三期)

    Cadence数字和定制/模拟流程通过Samsung Foundry的SF2、SF3工艺技术认证

    已经过 SF2 和 SF3 流程认证 ● Cadence 数字全流程针对先进节点实现了最佳 PPA 结果 ●Cadence 定制/模拟工具,包括基于
    的头像 发表于 07-05 10:12 413次阅读

    Cadence 数字和定制/模拟设计流程获得 Samsung Foundry SF2 和 SF3 工艺技术认证

    已经过 SF2 和 SF3 流程认证 ●  Cadence 数字全流程针对先进节点实现了最佳 PPA 结果 ● Cadence 定制/模拟工具,包括基于
    的头像 发表于 07-05 10:10 350次阅读

    Cadence Virtuoso Studio流程获得Samsung Foundry认证,支持先进工艺技术的模拟IP自动迁移

    内容提要 1 轻松实现节点到节点的设计和 layout 迁移 2 将定制/模拟设计迁移速度提升 2 倍 3 Cadence Virtuoso Studio 针对所有 Samsung Foundry
    的头像 发表于 07-04 10:10 508次阅读

    快来测测你对 Virtuoso Studio 了解多少?(第二期)

    关于 Virtuoso Studio  人们对智能化极致的需求让芯片设计面临着巨大压力,一方面是复杂的芯片设计流程,另一方面是产品快速迭代下紧迫的上市时间。为了解决这些问题,Cadence 日前推出
    的头像 发表于 06-13 12:15 617次阅读
    快来测测你对 <b class='flag-5'>Virtuoso</b> <b class='flag-5'>Studio</b> 了解多少?(第二期)

    Cadence数字和定制/模拟设计流程获得TSMC最新N3E和N2工艺技术认证

    楷登电子(美国 Cadence 公司,NASDAQ:CDNS)近日宣布,Cadence 数字和定制/模拟设计流程已通过 TSMC N3E 和 N2 先进工艺的设计规则手册(DRM)认证。两家公司还发
    的头像 发表于 05-09 10:09 746次阅读