0
  • 聊天消息
  • 系统消息
  • 评论与回复
登录后你可以
  • 下载海量资料
  • 学习在线课程
  • 观看技术视频
  • 写文章/发帖/加入社区
创作中心

完善资料让更多小伙伴认识你,还能领取20积分哦,立即完善>

3天内不再提示

如何选择电源路径选择器

星星科技指导员 来源:ADI 作者:ADI 2023-06-26 10:26 次阅读

Maxim具有多个电源路径选择器。有些具有集成过压保护,有些具有手动复位输入。路径选择器可以根据输入电压自动选择开关,或者用户可以手动启用开关路径。

本应用笔记概述了我们的电源路径选择器,以帮助客户选择适合其应用的电源路径选择器。

MAX14713/MAX14714紧凑型6A智能电源路径选择器自动选择更高电压的输入至源输出。比较器门限是两个输入(IN1、IN2)之间切换到较高输入电源电压所需的电压差。当器件选择输入时,开关至少在闭锁时间内处于导通状态。开关可以手动控制,而不是通过使能输入自动选择。

MAX14727/MAX14728/MAX14731为具有过压保护功能的路径选择器。过压门限可通过分压器在外部设置,也可以使用内部 OVLO。在两个输入之间,INA 具有优先级。当INB是唯一存在的输入或INB有效(高于4.1V,典型值)而INA无效(低于4.1V,典型值)时,INB接管INA。这些器件还具有OTG功能,因此输出可以提供输入。

MAX14740/MAX14741/MAX14742/MAX20320电源路径选择器自动选择较高的输入电压,并具有手动复位输入(MR)以复位、断开或连接开关。MAX14740具有I2C接口和大多数参数都是可编程的。MAX14741/MAX14742/MAX20320具有GPIO接口,有两个比较器门限可供选择。开关仍然可以手动控制,而不是通过使能输入自动选择,并且两个开关可以同时手动打开。

装置 比较器阈值 输入绝对最大值 内部 OVLO 软启动输出限值 (100μF 负载) 自动开关闩锁 通信
MAX14713 200mV 6V - 15mV/μs 是(典型值为 85 毫秒) GPIO
MAX14714 500mV 6V - 60mV/μs GPIO
MAX14727 - 30V 13.75V 10mV/μs GPIO
MAX14728 - 30V 10V 10mV/μs GPIO
MAX14731 - 30V 5.92V 10mV/μs GPIO
MAX14740 可 编程 序 6V - 20mV/μs 或 60mV/μs 是(可编程) I2C
MAX14741 300mV/500mV 6V - 60mV/μs GPIO
MAX14742 200mV/400mV 6V - 60mV/μs GPIO
MAX20320 200mV/400mV 6V - 60mV/μs GPIO

审核编辑:郭婷

声明:本文内容及配图由入驻作者撰写或者入驻合作网站授权转载。文章观点仅代表作者本人,不代表电子发烧友网立场。文章及其配图仅供工程师学习之用,如有内容侵权或者其他违规问题,请联系本站处理。 举报投诉
  • 电源
    +关注

    关注

    182

    文章

    16560

    浏览量

    244821
  • 比较器
    +关注

    关注

    14

    文章

    1527

    浏览量

    106503
  • GPIO
    +关注

    关注

    16

    文章

    1139

    浏览量

    50607
收藏 人收藏

    评论

    相关推荐

    8.5.1数据选择器介绍(1)#硬声创作季

    数据选择器
    学习硬声知识
    发布于 :2022年12月03日 16:28:50

    8.5.1数据选择器介绍(2)#硬声创作季

    数据选择器
    学习硬声知识
    发布于 :2022年12月03日 16:29:21

    8.5.2数据选择器的应用(1)#硬声创作季

    数据选择器
    学习硬声知识
    发布于 :2022年12月03日 16:30:13

    8.5.2数据选择器的应用(2)#硬声创作季

    数据选择器
    学习硬声知识
    发布于 :2022年12月03日 16:31:02

    9.7 数据选择器与数据分配器-视频(1)#硬声创作季

    数据选择器
    学习硬声知识
    发布于 :2022年12月03日 17:09:32

    9.7 数据选择器与数据分配器-视频(2)#硬声创作季

    数据选择器
    学习硬声知识
    发布于 :2022年12月03日 17:10:18

    数据选择器(MUX,Multiplexer)

    数据选择器(MUX,Multiplexer)1.  数据选择器的构成2.  数据选择器的功能3.  八选一 数据选择器4.  用数据
    发表于 10-20 09:42

    数据选择器

    浮尘的天气,风大到可以吹走人了。空中也有不少小沙子和泥土,只能减少外出了。今天咱们来看看数据选择器,本来想分享模拟开关的,一时找不全相关知识。还是来看看数据选择器吧。它是实现数据选择功能的逻辑电路
    发表于 05-30 17:38

    一个关于数据选择器的问题。

    的输出结果是电源电压,也就是5V,而且无论怎么尝试,输出永远都只可能出现0V和5V,难道数据选择器只能做到这样?这完全无法实现数据选择功能嘛。
    发表于 12-10 10:52

    如何从文件选择器里读出来的文件路径

    兄弟们,我想问下,从文件选择器里读出来的文件路径,前面路径都变成C:\fakepath了,怎么把他弄回原来的正确路径
    发表于 05-27 05:55

    多路选择器有哪些_多路选择器分类介绍

    本文开始介绍了多路选择器的分类与多路选择器的4选1原理图,其次介绍了多路选择器的典型芯片,最后介绍了多路选择器工作方式以及在长距离传输中的应用。
    的头像 发表于 04-27 09:13 3.2w次阅读
    多路<b class='flag-5'>选择器</b>有哪些_多路<b class='flag-5'>选择器</b>分类介绍

    4选1多路选择器电路图(四款多路选择器电路)

    本文主要介绍了四款4选1多路选择器电路图。多路选择器是数据选择器的别称。在多路数据传送过程中,能够根据需要将其中任意一路选出来的电路
    发表于 04-27 09:37 13.3w次阅读
    4选1多路<b class='flag-5'>选择器</b>电路图(四款多路<b class='flag-5'>选择器</b>电路)

    8选1多路选择器电路图(五款8选1多路选择器电路)

    多路选择器又称数据选择器。8选1数据选择器(型号有74151、74LS151、74251、74LS152),下面就以74LS151为例子,介绍几款电路图。
    发表于 04-28 17:25 12.1w次阅读
    8选1多路<b class='flag-5'>选择器</b>电路图(五款8选1多路<b class='flag-5'>选择器</b>电路)

    什么是选择器 CSS选择器有哪些

    什么是选择器呢?每一条css样式定义由两部分组成,形式如下: [code] 选择器{样式} [/code] 在{}之前的部分就是“选择器”。 “选择器”指明了{}中的“样式”的作用对象
    的头像 发表于 07-31 15:31 7044次阅读

    如何选择电源路径选择器

    发表于 11-16 23:57 0次下载
    如何<b class='flag-5'>选择</b><b class='flag-5'>电源</b><b class='flag-5'>路径</b><b class='flag-5'>选择器</b>