0
  • 聊天消息
  • 系统消息
  • 评论与回复
登录后你可以
  • 下载海量资料
  • 学习在线课程
  • 观看技术视频
  • 写文章/发帖/加入社区
创作中心

完善资料让更多小伙伴认识你,还能领取20积分哦,立即完善>

3天内不再提示

边沿检测电路设计

冬至子 来源:Andy的ICer之路 作者:AndyICer 2023-06-05 16:24 次阅读

上升沿检测电路

01

题目:对于8位向量中的每个位,检测输入信号何时从一个时钟周期的0变为下一个时钟周期的1(类似于上升沿检测)。应在从0到1的跳变发生后的周期内设置输出位。

以下为例子, 为了清楚起见,分别显示了in [1]和pedge [1]。

图片

module top_module(
  input clk,
  input [7:0] in,
  output reg [7:0] pedge);

  reg [7:0] d_last;  

  always @(posedge clk) begin
    d_last <= in;      
    pedge <= in & ~d_last;  // A positive edge occurred if input was 0 and is now 1.
  end

endmodule

第九行 d_last <= in ; 是记录信号in上一个cycle的状态;

第十行 pedge <= in & ~d_last; 检测上升沿,简答来说就是检测input由0变1。

双边沿检测电路

02

题目:对于8位向量中的每个位,检测输入信号何时从一个时钟周期更改为下一个时钟周期(检测任何边沿)。应在从0到1的跳变发生后的周期内设置输出位。

图片

module top_module (
    input clk,
    input [7:0] in,
    output [7:0] anyedge
);
    reg [7:0] d_old;
    always@(posedge clk)begin
        d_old <= in;
        anyedge <= d_old^in;
    end
endmodule

第八行 d_old <= in ; 是记录信号in上一个cycle的状态;

第九行 anyedge <= d_old^ in ; 即现在的信号in与上一个状态不一样的话,输出1(异或是两个信号不一样置1),这样该电路就可以双边沿检测。

声明:本文内容及配图由入驻作者撰写或者入驻合作网站授权转载。文章观点仅代表作者本人,不代表电子发烧友网立场。文章及其配图仅供工程师学习之用,如有内容侵权或者其他违规问题,请联系本站处理。 举报投诉
  • 检测电路
    +关注

    关注

    13

    文章

    289

    浏览量

    57856
  • Verilog
    +关注

    关注

    28

    文章

    1327

    浏览量

    109312
  • 状态机
    +关注

    关注

    2

    文章

    486

    浏览量

    27184
  • 时钟域
    +关注

    关注

    0

    文章

    49

    浏览量

    9455
收藏 人收藏

    评论

    相关推荐

    FPGA设计经验之边沿检测

    在同步电路设计中,边沿检测是必不可少的!
    发表于 03-01 09:59 4951次阅读

    FPGA设计中的边沿检测问题

    在同步电路设计中,边沿检测是必不可少的!后一种方法所耗的资源要比前一种方法多(一个触发器),但是就可以大大提高可靠性,这绝对是物有所值!!
    发表于 02-01 10:53 912次阅读
    FPGA设计中的<b class='flag-5'>边沿</b><b class='flag-5'>检测</b>问题

    FPGA设计经验:边沿检测

    在同步电路设计中,边沿检测是必不可少的!
    发表于 08-16 15:19 1797次阅读
    FPGA设计经验:<b class='flag-5'>边沿</b><b class='flag-5'>检测</b>

    关于边沿检测的问题

    入图,有没有大神分析一下,是怎实现边沿检测的,它各个时期的电平状态是什么
    发表于 04-13 14:36

    fpga应用篇(二):边沿检测

    `fpga应用篇(二):边沿检测上一篇介绍了阻塞赋值与非阻塞赋值,这次我们利用非阻塞赋值产生一个简单的应用即边沿检测边沿
    发表于 04-06 21:28

    边沿检测设计报告

    边沿检测设计报告
    发表于 09-26 15:38

    边沿检测与提取-轮廓跟踪知识详解

    边沿检测与提取程序
    发表于 01-29 14:56 0次下载

    用移位寄存器实现边沿检测的技巧

    本文记录一下关于用移位寄存器实现边沿检测的技巧。要学会硬件思维式的“模块式”读写代码,那么请多看别人的代码,并用ISE或者VIVADO综合出来看看。 边沿检测
    发表于 04-15 10:26 2976次阅读

    FPGA学习系列:12. 边沿检测设计

    设计背景: 在我们工程设计中,有时会需要到上升沿和下降沿这么一个说法,通过上升沿和下降沿来驱动一个电路,那么学习边沿检测就非常的重要了。 设计原理 : 在学习边沿
    的头像 发表于 06-13 11:20 5194次阅读
    FPGA学习系列:12. <b class='flag-5'>边沿</b><b class='flag-5'>检测</b>设计

    边沿检测的目的及电路原理分析

    边沿检测电路(edge detection circuit)是个常用的基本电路。所谓边沿检测就是
    的头像 发表于 11-19 07:09 1w次阅读

    FPGA学习-边沿检测技术

    所谓边沿检测,就是检测输入信号即上升沿或者下降沿的检测边沿检测
    的头像 发表于 11-26 10:20 965次阅读

    Verilog边沿检测的基本原理和代码实现

    本文将从Verilog和边沿检测的基本概念入手,介绍Verilog边沿检测的原理和应用代码示例。
    的头像 发表于 05-12 17:05 2368次阅读
    Verilog<b class='flag-5'>边沿</b><b class='flag-5'>检测</b>的基本原理和代码实现

    如何设计边沿采样的触发器呢?

    在设计双边沿采样电路(Dual-edge triggered flip-flop)之前,先从单边沿采样电路设计(Edge capture register)开始。
    的头像 发表于 06-05 16:27 914次阅读
    如何设计<b class='flag-5'>边沿</b>采样的触发器呢?

    什么是边沿检测

    1、什么是边沿检测 边沿检测用于检测信号的上升沿或下降沿,通常用于使能信号的捕捉等场景。 2、采用1级触发器的
    的头像 发表于 06-17 14:26 1507次阅读
    什么是<b class='flag-5'>边沿</b><b class='flag-5'>检测</b>

    Verilog实现边沿检测的原理

    边沿检测大致分为:上升沿检测,下降沿检测和,双沿检测。原理都是通过比输入信号快很多的时钟去采集信号,当出现两个连续的采集值不等的时候就是
    的头像 发表于 06-28 15:19 1234次阅读
    Verilog实现<b class='flag-5'>边沿</b><b class='flag-5'>检测</b>的原理