0
  • 聊天消息
  • 系统消息
  • 评论与回复
登录后你可以
  • 下载海量资料
  • 学习在线课程
  • 观看技术视频
  • 写文章/发帖/加入社区
创作中心

完善资料让更多小伙伴认识你,还能领取20积分哦,立即完善>

3天内不再提示

数字电子钟逻辑电路设计

CHANBAEK 来源:嵌入式大本营 作者:小小飞飞哥 2023-05-26 15:57 次阅读

1、 前言

数字钟是一种用数字电路技术实现时、分、秒计时的装置,与机械时钟相比具有更高的准确性和直观性,且无机械装置,具有更长的使用寿命,因此得到了广泛的应用。

2.设计任务和要求

用中小规模集成电路设计一台能显示日、时、分秒的数字电子钟,要求如下:

1.由晶振电路产生1Hz 标准秒信号

2.秒、分为00—59六十进制计数器。

3.时为00—23二十四进制计数器。

4.周显示从1—日为七进制计数器。

5.可手动校正:能分别进行秒、分、时、日的校正。 只要将开关置于手动位置,可分别对秒,分、时、日进行手动脉冲输入调整或连续脉冲输入的校正。

6.整点报时。 整点报时电路要求在每个整点前鸣叫五次低音(500Hz),整点时 再鸣叫一次高音(1000Hz)。

3、实验器件选择

本次实验中需使用到的元器件如下表所示

器件名称 型号 数量 功能
芯片 74HC00 2 与非
芯片 74HC04 1 非门
芯片 74HC74 1 2分频
芯片 74HC161 7 16进制
芯片 4060 1 二进制计数器
芯片 4511 1 驱动LED
晶体振荡器 32768 1 产生频率脉冲
电阻 12. 1 ---
电阻 32米 1 ---
共阴单8显示器 0.56英寸 7 显示数字
可调电容 102 2 ---

表1元器件列表

4、方案设计

(1)脉冲信号电路设计方案

图片

石英晶体主要成分是二氧化硅,它的物理化学性质十分稳定,本电路的显著优点是频率稳定性极好,理论上可达1.000000 Hz的精确度,石英晶体产生的信号经过4060可以达到14分频,再经过一个D触发器74HC74就实现了15分频输出1HZ信号。

(2)秒、分电路设计

图片

这个模块就是“秒”的部分,由74HC161芯片产生16进制计数,然后利用送数功能,当计时到1001(即十进制数字9)送0,实现0 ~ 9的循环,作为秒的个位。同时将1001经过与非门之后送到下一片74HC161芯片作为时钟信号,也就是个位计数十次十位进一次位。十位计数到0101(即十进制5)后经过与非门送到Load置数,同时作为下一片芯片的时钟信号。十位实现0~5的循环。这样,秒的个位和十位就完成了。

分的设计和秒类似,这里就不再赘述。

(3)时电路设计

图片

时的电路设计与前面的分和秒类似,但是有些不同,主要是进位上面需要注意一下,个位上先是0 ~ 9循环两次,然后是0 ~ 4,接着向十位进位。十位上只能是0~2的循环。设计思路如下:个位上,当计数到1001时经过与非门产生送数,计时器回到0,并且向十位产生进位信号。十位上,当个位产生0100和十位产生0010时(组合后就是十进制24),产生的信号送到复位端,让个位和十位复位,并且信号输入到下一级的CLK上面。这样就可以达到要求了。

(4)周电路设计

图片

周要实现周一~周日(日用8代替),因此,当计数到0111时经过与非门置数1,同时因为要显示8(跳过7),所以利用译码器的LT可以实现全亮,这样就达到了设计要求。

(5)校时电路设计

图片

在刚刚开机接通电源时,由于日、秒、分、时为任意值,所以,需进行调整。 置开关在手动位置,分别对时、分、秒、日进行单独计数,计数脉冲由单次脉冲或连续脉冲输入。

方案实现:在芯片的CLK引出一个接口,既可以接收前一级的进位信号,也可以单独输入一个高频率脉冲信号,方便调整时间。

图片

总体连接示意图

图片

整体电路图

图片

实物图1

图片

实物图2

图片

仿真动态图

以上就是关于数字时钟的设计。

声明:本文内容及配图由入驻作者撰写或者入驻合作网站授权转载。文章观点仅代表作者本人,不代表电子发烧友网立场。文章及其配图仅供工程师学习之用,如有内容侵权或者其他违规问题,请联系本站处理。 举报投诉
  • 逻辑电路
    +关注

    关注

    13

    文章

    469

    浏览量

    42212
  • 电路设计
    +关注

    关注

    6565

    文章

    2317

    浏览量

    195423
  • 时钟
    +关注

    关注

    10

    文章

    1479

    浏览量

    130306
  • 数字电路
    +关注

    关注

    192

    文章

    1396

    浏览量

    79750
  • 数字电子钟
    +关注

    关注

    7

    文章

    27

    浏览量

    20459
收藏 人收藏

    评论

    相关推荐

    [求助]哪位高手能详细地给我讲讲74LS系列的这几块芯片啊?谢谢了

    ,引脚作用,功能等等,我做的题目是数字电子钟逻辑电路设计,老师指定用这三种芯片,可是我不会啊,求高手赐教,不甚感激!!!
    发表于 01-06 13:19

    数字电子电路技术--时序逻辑电路

    数字电子电路技术--时序逻辑电路
    发表于 05-01 21:23

    【下载】《数字逻辑电路的ASIC设计》

    `内容简介本书是“实用电子电路设计丛书”之一。本书以实现高速高可靠性的数字系统设计为目标,以完全同步式电路为基础,从技术实现的角度介绍ASIC逻辑电路设计技术。内容包括:
    发表于 04-03 17:14

    数字逻辑电路

    数字逻辑电路的内容:数制与编码,,逻辑代数和逻辑函数,集成逻辑门,组合逻辑电路,中规模集成组
    发表于 09-06 01:54 33次下载
    <b class='flag-5'>数字</b><b class='flag-5'>逻辑电路</b>

    数字电子技术课程设计指导书

    数字电子技术课程设计指导书数字电子技术课程设计概述 2课程设计课题课题一 数字电子钟
    发表于 06-19 19:42 130次下载

    VHDL硬件描述语言与数字逻辑电路设计

    VHDL硬件描述语言与数字逻辑电路设计:本书系统地介绍了一种硬件描述语言,即VHDL语言设计数字逻辑电路数字系统的新方法。这是
    发表于 02-06 16:55 361次下载

    组合逻辑电路设计基础

    讲述组合逻辑电路设计基础
    发表于 05-06 10:29 0次下载

    数字逻辑电路设计课程

    数字逻辑电路设计课程 数字逻辑电路的设计包括两个方面:基本逻辑功能电路设计
    发表于 05-24 16:05 0次下载

    数字逻辑电路

    数字逻辑电路 数字逻辑电路的用途和特点   数字电子电路中的后起之秀是
    发表于 11-10 10:13 1.7w次阅读

    数字逻辑电路设计实践

    数字逻辑电路设计实践_电工电子实验中心实验报告。
    发表于 10-29 16:25 0次下载

    定时控制器逻辑电路设计

    定时控制器逻辑电路设计定时控制器逻辑电路设计定时控制器逻辑电路设计定时控制器逻辑电路设计定时控制器逻辑电路设计定时控制器
    发表于 12-17 18:18 0次下载

    数字电子钟逻辑电路设计

    数字电子钟逻辑电路设计,我自己编写的,里面有横多实用的东西
    发表于 06-22 16:12 56次下载

    VHDL硬件描述语言与数字逻辑电路设计

    VHDL硬件描述语言与数字逻辑电路设计,感兴趣的小伙伴们可以瞧一瞧。
    发表于 11-10 14:20 0次下载

    数字电子技术的八个课题和设计实例详细说明

    本文档的主要内容详细介绍的是数字电子技术的八个课题和设计实例详细说明包括了:课题一 数字电子钟逻辑电路设计,课题二 智力竞赛抢答器
    发表于 11-29 08:00 15次下载
    <b class='flag-5'>数字</b><b class='flag-5'>电子</b>技术的八个课题和设计实例详细说明

    数字电子技术的8个实验资料说明

    通过该课程设计,设计出符合任务要求的电路掌握通用电子电路的一般设计方法和步骤,训练并提高学生在文献检索、资料利用、方案比较和元器件选择等 数字电子技术课程设计概述: 课题一
    发表于 09-24 08:00 2次下载
    <b class='flag-5'>数字</b><b class='flag-5'>电子</b>技术的8个实验资料说明