0
  • 聊天消息
  • 系统消息
  • 评论与回复
登录后你可以
  • 下载海量资料
  • 学习在线课程
  • 观看技术视频
  • 写文章/发帖/加入社区
创作中心

完善资料让更多小伙伴认识你,还能领取20积分哦,立即完善>

3天内不再提示

低功耗设计详解(UPF编码篇)

冬至子 来源:处芯积律 作者:处芯积律 2023-05-23 16:59 次阅读

什么是低功耗设计呢?对于后端工程 师来讲,在物理实现方面就是引入多条电源线,并且根据设置电压域的不同/设计制定的全局电源网络的 不同,对不同PD进行常开/关断式的供电,为了解决实现多电源/多电压域的电源网络供电过程中可能会 触发的问题,需要引入低功耗单元。

对于简单的电压域设计,ICer们可以手动global connect后再 detail route,也不需要注意低功耗单元摆放的合理性/孤岛的设置。但是复杂的电源网络的话,ICer就 需要引入Power Intent文件,例如CPF(Common Power format),UPF(Unified Power format)来实现复杂多电压域设计,电压域的关断,甚至是动态电压调节DVFS来控制实现各个电压域电压的变化。

实际上UPF重点是在描述定义芯片功耗架构(比如电压源的定义,power state的定义,低功耗单 元ISO,MTCMOS(PSW),LVL,ELS单元的连接关系,供电关系以及布局信息),UPF 文件和SDC 文件一样,有自己的书写风格,实际上UPF早就被认定为标准协议,做过低功耗设计的后端工程师应该 知道,在innovus内在吃入UPF3.0的时候,文件名并不叫UPF,而叫IEEE1801,下图是UPF的使用标准,可以看到为IEEE 低功耗设计标准,其中包括UPF的指令的man page以及使用的注意事项。

image.png

UPF编码基础(内容:电源网络定义,多电压域设计,低功耗模式,低功耗单元规则(rules),芯 片边缘供电定义,IP供电描述)

image.png

image.png

image.png

实际上UPF贯穿整个中后端设计,不同的电压域,里面的lib_cell用的对应library库(包含各种.lib 的总库)也不一样,比如1.0V用1.0V的library,2.0V用2.0的library库。综合中一般加ISO,ICG, LEVELSHEIFTER,而SWITCH_cell(MTCMOS四PIN的串链)在物理设计里面加。同时值得注意 的是,一般低功耗单元都是加在powerdomain的boundry边缘处,但是如果有些低功耗的单元 (iso,level shifter)是加在marco的pin上面的时候,就不能放在电压域boundry处。CLP验证主要 验证UPF架构(就那些内容)写的对不对,通过Cadence家的conformal工具实现,Tempus和 Voltus是Cadence的PT和PI的signoff工具。

image.png

UPF电源网络定义 supply net

image.png

image.png

image.png

image.png

UPF电源网络定义 supply set

image.png

image.png

image.png

image.png

图为PD1的supply set包含内给element供电的primary power,给iso和retention供电的常开pg net)。

到这里,小编已经介绍完了UPF编码的大概内容以及UPF在后端的应用流程,同时也介绍了 supply_net以及supply_set两个重要的UPF create命令。下次小编将会以一个多PD的module的 UPF为例,详细解释并一步一步讲解UPF的书写规则,让手写UPF低功耗文件不再是难事,各位 ICer也能通过UPF给自身项目模块进行电源网络,电压域供电规划。

声明:本文内容及配图由入驻作者撰写或者入驻合作网站授权转载。文章观点仅代表作者本人,不代表电子发烧友网立场。文章及其配图仅供工程师学习之用,如有内容侵权或者其他违规问题,请联系本站处理。 举报投诉
  • CLP
    CLP
    +关注

    关注

    0

    文章

    5

    浏览量

    7025
  • 低功耗设计
    +关注

    关注

    0

    文章

    78

    浏览量

    16106
  • UPF
    UPF
    +关注

    关注

    0

    文章

    47

    浏览量

    13342
  • DVFS
    +关注

    关注

    1

    文章

    16

    浏览量

    11926
收藏 人收藏

    评论

    相关推荐

    芯片设计中的低功耗技术介绍

    功耗及其组成部分,总结降低功耗的若干种常用方案;并重点介绍如何用UPF低功耗意图描述出来以及如何用Synopsys工具实现整个流程。  目前常用的
    发表于 07-07 11:40

    新手求助UPF低功耗设计能否在RTL仿真中实现呢?

    RTL设计完毕之后,如何来验证设计的正确性?UPF低功耗设计能否在RTL仿真中实现呢?
    发表于 06-18 08:21

    [UPF]低功耗(Low Power Design)and UPF介紹 精选资料分享

    低功耗(Low Power Design)and UPF介紹一、低功耗設計策略(Lower-power design strategies)1.1、動態和靜態功耗(Dynamic
    发表于 07-27 07:26

    什么是UPF呢?

    Synopsys推荐的UPF及Power Domain流程简介上次介绍了在当前先进制程下低功耗设计实现都需要UPF技术的支持,否则在功耗验证的时候将会很难验证并且对后续的综合和后端实现
    发表于 07-28 06:15

    什么是低功耗UPF

    什么是低功耗UPF
    发表于 09-29 07:49

    UPF流程与电源域Power Domain详解

    UPF流程是怎样的?怎样使用UPF0对RTL进行动态/静态功耗验证?什么是电源域Power Domain?如何对电源域Power Domain进行划分?
    发表于 10-20 07:32

    小编科普一下关于低功耗UPF与CLP的使用方法

    关于UPF 还是那句话,目前网上最简单明了的还是老驴总结的《论功耗 | 一文搞懂 UPF2.1 编写Power Intent》,每次新写UPF 的时候可以拿此文当索引,详细的还是得查协
    发表于 06-28 15:41

    欧胜推出超低功耗音频编码解码器

    欧胜推出超低功耗音频编码解码器 欧胜微电子日前宣布推出一款带有W类耳机和线路驱动器的、世界领先的超低功耗编码解码器WM8904,它专为提供低功耗
    发表于 01-13 09:11 881次阅读

    SpringSoft运用先进的低功耗设计侦错解决方案简化低功

    SpringSoft运用先进的低功耗设计侦错解决方案简化低功耗芯片的验证工作 全新的Verdi Power-aware Debug Module实现运用RTL与UPF/CPF低功耗
    发表于 02-08 19:17 789次阅读

    基于UPF低功耗数字后端设计实训课

    UPF低功耗设计流程中包括了前端VCS-NLP功能仿真,后端VC LP静态验证,以及在DC综合和ICC2布局布线中低功耗单元的插入,例如level shifter,isolation cell
    的头像 发表于 02-26 09:48 1379次阅读

    什么是低功耗设计呢(UPF编码篇)

    UPF编码基础(内容:电源网络定义,多电压域设计,低功耗模式,低功耗单元规则(rules),芯片边缘供电定义,IP供电描述)
    发表于 05-15 14:22 822次阅读
    什么是<b class='flag-5'>低功耗</b>设计呢(<b class='flag-5'>UPF</b><b class='flag-5'>编码</b>篇)

    低功耗技术(三)UPF的使用

    UPF是一个统一的,被广泛应用的低功耗实现标准。它用一些标准的语言描述用户的低功耗设计意图。
    发表于 06-05 17:48 1496次阅读
    <b class='flag-5'>低功耗</b>技术(三)<b class='flag-5'>UPF</b>的使用

    低功耗SoC的PR设计浅析

    全芯片UPF低功耗设计(含DFT设计)
    的头像 发表于 12-29 11:43 294次阅读
    ​<b class='flag-5'>低功耗</b>SoC的PR设计浅析

    Synopsys推出一款低功耗静态规则检查工具—VCLP

    VCLP(VC Low Power)是Synopsys提供的一款低功耗静态规则检查工具,它能够帮助验证和清洁IEEE 1801 Unified Power Format (UPF)低功耗设计意图,并确保
    的头像 发表于 04-15 11:25 239次阅读
    Synopsys推出一款<b class='flag-5'>低功耗</b>静态规则检查工具—VCLP

    LEC低功耗检查时,这个错误是什么原因?

    我们知道Cadecne发明的低功耗文件是CPF,Synopsys发明的低功耗文件格式是UPF
    的头像 发表于 04-15 11:30 180次阅读
    LEC<b class='flag-5'>低功耗</b>检查时,这个错误是什么原因?