0
  • 聊天消息
  • 系统消息
  • 评论与回复
登录后你可以
  • 下载海量资料
  • 学习在线课程
  • 观看技术视频
  • 写文章/发帖/加入社区
创作中心

完善资料让更多小伙伴认识你,还能领取20积分哦,立即完善>

3天内不再提示

Synopsys推出一款低功耗静态规则检查工具—VCLP

全栈芯片工程师 来源:全栈芯片工程师 2024-04-15 11:25 次阅读

VCLP(VC Low Power)是Synopsys提供的一款低功耗静态规则检查工具,它能够帮助验证和清洁IEEE 1801 Unified Power Format (UPF)低功耗设计意图,并确保UPF中的功耗意图与实现一致。VCLP通过执行语法和语义检查,有助于在实现之前验证UPF的一致性。错误的功耗意图可能导致错误的低功耗实现,因此使用VCLP进行验证是确保设计质量的重要步骤。

988a69f8-f96d-11ee-a297-92fbcf53809c.png

下面小编简单介绍下UPF定义及景芯A72项目编写VCLP脚本的步骤,具体脚本flow参见景芯A72服务器脚本:

98ee2f4c-f96d-11ee-a297-92fbcf53809c.png

(一)定义功耗域(Power Domains)

使用create_power_domain命令创建功耗域,并使用-include_scope参数包含需要的scope。

create_power_domain PD_maia_cpu–include_scope .

(二)创建供电网络(Supply Port/Nets)

使用create_supply_port/create_supply_net命令创建供电网络,并使用-domain参数指定所属的功耗域。

create_supply_net VDDS_maia_cpu –domain PD_maia_cpu

(三)连接供电端口(Connect Supply Ports)

使用connect_supply_net命令将供电网络连接到端口。

connect_supply_net VDDS_maia_cpu–ports {VDDS_maia_cpu}

(四)设置电源开关(Power Switches)

使用create_power_switch命令创建电源开关,并指定所属的功耗域。

(五)设置保留策略(Retention Strategies)

使用set_retention命令设置保留策略,包括保留电源网络和控制信号

set_retention my_retention_strategy –domain PD_maia_cpu–retention_power_net VDD

(六)设置隔离(Isolation)

使用set_isolation命令设置隔离策略,包括隔离电源网络和控制信号

set_isolation my_isolation –domain PD_maia_cpu–isolation_power_net VDD

(七)设置电平转换器(Level Shifters

使用set_level_shifter命令设置电平转换器策略。

set_level_shifter my_level_shifter_strategy –domain PD_maia_cpu–applies_to outputs –location parent

(八)执行UPF检查(UPF Checks)

使用check_lp命令执行UPF检查,并指定检查的阶段。

check_lp –stage upf

9906fbee-f96d-11ee-a297-92fbcf53809c.png

(九)报告UPF问题

使用report_lp命令生成UPF问题的报告。

report_lp

比如下面这个问题,景芯A72的同学们必须debug清楚哈,不然打板子!教程写了如何debug。

992eab30-f96d-11ee-a297-92fbcf53809c.png

上述步骤小编只是很简单介绍了下UPF、VCLP的基本框架,具体的命令和参数可以参考景芯A72实战项目。编写VCLP脚本时,需要仔细阅读UPF的规范和VCLP的用户指南,确保所有的设置都符合设计意图。此外,对于复杂的设计,可能还需要编写更高级的脚本来处理特殊情况,如特殊的低功耗选项或debug过程中的特定命令。



审核编辑:刘清

声明:本文内容及配图由入驻作者撰写或者入驻合作网站授权转载。文章观点仅代表作者本人,不代表电子发烧友网立场。文章及其配图仅供工程师学习之用,如有内容侵权或者其他违规问题,请联系本站处理。 举报投诉
  • 电平转换器
    +关注

    关注

    1

    文章

    112

    浏览量

    19368
  • 电源开关
    +关注

    关注

    12

    文章

    994

    浏览量

    43253
  • Synopsys
    +关注

    关注

    2

    文章

    154

    浏览量

    89869
  • UPF
    UPF
    +关注

    关注

    0

    文章

    47

    浏览量

    13344
  • VDD
    VDD
    +关注

    关注

    1

    文章

    301

    浏览量

    31916

原文标题:芯片低功耗VCLP

文章出处:【微信号:全栈芯片工程师,微信公众号:全栈芯片工程师】欢迎添加关注!文章转载请注明出处。

收藏 人收藏

    评论

    相关推荐

    [原创]TI针对能量采集和低功耗应用推出高效率电源转换器_TPS62120

    应用推出一款具备高效率、超低功耗降压转换器。全新的 TPS62120 不但可实现高达 96% 的效率,而且还可透过 2 V 至 15 V 输入电压产生 75 mA 的输出电流。这一款
    发表于 10-12 21:03

    有谁接触过在低功耗方面不错的处理器吗?推荐一款

    有谁接触过在低功耗方面不错的处理器吗?推荐一款
    发表于 03-13 16:15

    一款低功耗电源管理IC

    一款低功耗电源管理IC,LDO跟DC-DC都可以,技术参数要求如下:输入3.6V;输出2.8V;当输出端什么都不接处于空载状态时,该IC消耗电流为1uA。且该IC满载电流不能低于300mA,可在
    发表于 12-07 18:27

    求推荐一款输出电压为1.8v的超低功耗LDO

    因本人所做项目是用电池供电,需要一款低功耗的LDO,封装为sot23,要求超低功耗静态电流,10ua以下,低噪声,低压差,最大输出电流大于300ma。求各位推荐下,谢谢了!
    发表于 08-14 22:20

    低功耗蓝牙模块性能比拼,总有一款你要的

    适合自己产品的BLE蓝牙模块,减少开发难度、缩短研发时间、加快产品上市步伐。低功耗蓝牙模块1、蓝牙BLE透传模块SKB360蓝牙BLE透传模块SKB360SKB360是一款基于nRF51822 SoC
    发表于 09-12 17:51

    分享一款超低静态电流的同步整流升压IC

    `分享一款超低静态电流的同步整流升压IC 超低功耗产品的电源如何设计,推荐低功耗的同步整流升压芯片 SY7072低
    发表于 06-22 16:56

    电源芯片的静态电流:低功耗产品必须考虑的因素之

    产品所抛弃。  下面是AMS1117的静态电流,10mA的静态电流,和7805是个水平的。  看到没有,这些常规的电源芯片,除了体积大,静态电流也大,根本不适合
    发表于 11-30 17:18

    请推荐一款电源开关芯片:低功耗12V电压

    想请大家帮忙推荐一款电源开关芯片,12V的电压, 我以前用的是英飞凌的BTS443,因为这个芯片静态电流太大,我想换一款低功耗的,麻烦大家推荐
    发表于 02-26 06:36

    如何设计一款低功耗的电池供电装置

    ,就必须整合微控制器,这不仅要了解电池特性,还必须深入了解为了达到连续使用10、15甚至20年却无须更换电池的目标,应该如何设计一款装置。要在极低功耗条件下操作,就必须用全新的方法来看待这些极低功耗
    发表于 05-16 10:44

    一款12V转5V低功耗的电压芯片

    一款12V转5V的电压芯片,空载情况下,尽可能的电流小,因为是用电池做电源,要求低功耗,我现在用的LM2842,静态功耗为1.5mA。大家能不能推荐
    发表于 05-21 00:40

    AD7851是一款高速,低功耗ADC

    EVAL-AD7851CB,AD7851评估板,14位A / D转换器。 AD7851是一款高速,低功耗ADC,采用+ 5V单电源供电
    发表于 06-26 14:02

    电气设计规则检查工具HyperLynx DRC

    HyperLynx® DRC PE 是一款强大、快速的电气设计规则检查工具,既可让 验证流程自动进行,又能使您以迭代方式执行设计检查。Hyp
    发表于 10-08 08:18

    一款低功耗集成收发器

    EVAL-ADF7024DB3Z,用于ADF7024 RF收发器的评估板(RF子板,431 MHz至435 MHz,单独匹配)。 ADF7024是一款低功耗集成收发器,适用于433 MHz,868
    发表于 05-21 06:34

    如何挑选一款适合自己的低功耗蓝牙模块?

    市面上的低功耗蓝牙模块性能比拼,总有一款你想要的
    发表于 05-14 06:02

    Synopsys和华虹NEC合作推出低功耗参考流程3.0

    Synopsys和华虹NEC共同合作的结晶,它将Synopsys Eclypse™ 低功耗解决方案加入到之前为设计师所提供的各种参考流程之中。
    发表于 04-25 10:36 789次阅读