0
  • 聊天消息
  • 系统消息
  • 评论与回复
登录后你可以
  • 下载海量资料
  • 学习在线课程
  • 观看技术视频
  • 写文章/发帖/加入社区
创作中心

完善资料让更多小伙伴认识你,还能领取20积分哦,立即完善>

3天内不再提示

半导体封测行业研究报告:封测回暖,先进封装成长空间广阔

jh18616091022 来源:未来智库 2023-05-22 15:42 次阅读

1、半导体行业景气度见底,预计下半年迎来拐点

1.1、半导体行业已处于周期性底部

半导体行业的周期属性是产品周期、产能周期、库存周期三重周期的叠加。产品 周期代表了需求端的变化,主要与基础技术的更新和下游产品的生命周期相关, 同时也受到宏观经济波动的影响。产能周期代表了供给端的变化,受需求、竞争 性投资、时滞等因素相关,企业资本支出会发生波动,并影响供给。库存周期受 短期供需关系驱动,一轮完整的库存周期可以分为四个阶段:主动补库存,被动 补库存,主动去库存,被动去库存。企业视短期需求和利润率的变化不断调整稼 动率,短期供需关系的变化导致库存水平随之波动。

半导体行业已处于新一轮周期底部,未来景气度有望复苏。从 2022 年 1 月至今, 全球半导体月度销售额同比增速持续下滑。2022 年 12 月至 2023 年 2 月连续三个 月销售额同比减少 20%以上,同比增速达到近十年来的最差情况。半导体行业景 气度已处于历史底部区间,预期伴随需求复苏和半导体企业主动去库存,下半年 半导体行业有望重回增长。

456620e2-f77e-11ed-90ce-dac502259ad0.png

1.2、半导体行业已经开启“主动去库存”周期

半导体行业库存压力不断上升。2022 年至 2023Q1 半导体行业整体出现库存积压 情况,存货周转天数持续上升。以英特尔、台积电、高通为例:英特尔、高通 2022 年存货周转天数呈上升趋势,2023Q1 均达到了十年以来的最高水平。其中,英特 尔 2022 年全年以及 2023Q1 存货周转天数均在 100 天以上,2023Q1 存货周转天 数飙升到 153 天。高通 2022 年存货周转天数由一季度的 78 天增长到全年 92 天, 并在 2023Q1 达到 148 天。台积电 2022 年存货周转天数没有明显的上涨趋势,但 从历史数据来看,存货周转天数 2022 年全年保持在近十年来最高水位,并在 2023Q1 达到 89 天,创下十年来历史新高。

国内芯片设计公司库存较高,但去库存迹象已现。2022Q1-2022Q3 受下游需求下 降和产能过剩影响,国内芯片设计公司库存水平持续攀升,为保证现金流,大部 分半导体公司开始积极去库存。2022Q4 至 2023Q1 已有少数芯片设计公司库存水 平下降,多数芯片设计公司库存呈现企稳态势,下半年如果需求得到恢复,库存 压力将得到明显改善。

全球半导体行业库存压力飙升是需求端供给端多因素叠加的结果。从需求端来看, 2022 年初俄乌冲突,政治因素导致市场环境动荡;美联储因为通胀高企快速加息, 带动全球大多数国家进入加息周期;消费电子需求下滑,半导体销售量持续减少。从供给端来看,自 2020 年出现芯片供应短缺以来,全球各大半导体制造企业都在 加紧过扩大产能,新建的生产线陆续投产,导致半导体产能供给过剩。需求快速 降温和产能过剩使得企业被动补库存,库存压力节节攀升。

三大信号表明半导体行业主动去库存已经开启,未来几季度库存压力有望得到缓 解。

信号一:半导体及相关行业产能利用率下降。由于 2021 年产能的迅速扩张,供给过剩,企业库存压力逐渐显现,2022 年产能 利用率持续下滑,在 2023 年 1 月降至 71.16%,达到过去六年来的最低水平。企 业在主动减小产能从而降低库存压力,消化已有库存。

457d582a-f77e-11ed-90ce-dac502259ad0.png

信号二:全球半导体产业资本支出同比增速明显放缓。根据 IC insights 的预测,2023 年全球半导体产业资本支出将减少,同比下降 19%, 是继 2008 年以来的最大降幅。半导体产业投资意愿降温,供给能力总体上将趋于 平稳或有所下降,有利于企业主动去库存。

信号三:半导体价格下降,企业开启降价去库存。美国半导体出口价格指数显示,2019 年 10 月到 2022 年 9 月,半导体出口价格指 数总体上呈现上涨趋势,并在 2022 年 9 月份到达顶峰。2022Q4 开始,半导体出 口价格指数持续下降,2023 年 4 月为 58.8,达到近三年来最低水平。

1.3、半导体下游需求触底,增长前景向好

从整个半导体产业的下游应用市场来看,终端产品主要分为通信、计算机、汽车、 消费电子和工业用途五个方向。通信和计算机领域约占总销售额的三分之二,而 汽车、工业和消费电子等领域占据其余部分。根据世界半导体贸易统计(WSTS) 组织发布的 2022 年半导体终端应用调查,通信和计算机终端市场仍然占据了 2022 年半导体销售额最大的份额,分别为 30%和 26%;同时,汽车、消费电子和工业 应用领域取得了该年度的最大增长,均比 2021 年高出 2 个百分点。

458fdf72-f77e-11ed-90ce-dac502259ad0.png

从通信领域的代表产品来看,2022 年全球智能手机市场持续低迷,2023Q1 智能 手机需求仍在下滑,下半年有望回暖。2022Q4 全球智能手机出货量同比下降 18%, 仅为 2.97 亿部。由于需求减少,厂商在 2022 年全年出货不足 12 亿部,年度出货 量下降 12%。2023Q1 全球智能手机市场连续第五个季度衰退,手机出货量同比下 滑 12%。2023Q1 全球智能手机出货量同比降幅小于 2022Q4,说明需求端的衰退 趋势有所企稳,智能手机市场的景气度已经处于底部区间。Canalys 预测,智能手 机库存在 2023Q2 末可以达到相对健康的水平,销售量将随库存的减少逐渐改善。

从计算机市场来看,全球 PC 出货量在 2022 年快速下滑,2023Q1 可能是今年表 现最差的季度。2022Q4 台式机和笔记本电脑的总出货量 6540 万台,下降 29%。2022 年全年的 PC 总出货量为 2.851 亿台,下降 16%。笔记本电脑 2022Q4 出货量 5140 万台,下降 30%。2023Q1,全球 PC 市场出货量进一步下降,台式机和笔记 本电脑总出货量同比下降 33%至 5400 万台,连续第四个季度出现两位数的降幅。其中,笔记本电脑的出货量同比下降 34%,仅为 4180 万台。台式机出货量 1210 万台,同比下降 28%。假日季需求疲软一直延续到新年,PC 的需求仍然平淡,清 库存成为渠道商的工作重点。Canalys 预测 2023Q1 会是今年 PC 出货量下滑最大 的季度,下半年 PC 市场将逐渐回暖,2024 年 PC 市场有望迎来较快增长。

45ab6f62-f77e-11ed-90ce-dac502259ad0.png

长期来看,汽车电子、计算和数据存储需求以及无线通信有望引领未来半导体行 业的增长。在自动驾驶和电动汽车智能化的推动下,汽车电子有望快速增长。人 工智能和云计算的发展带来的服务器等相关需求可能推动计算和数据存储市场蓬 勃发展,复合年增长率有望达到 5%左右。同时,在无线通信领域,随着新兴市场 逐渐从低端市场转向高端市场,并受到 5G 增长的加持,智能手机市场规模有望 持续扩大。

2、封测行业周期筑底反弹将至,先进封装成长性强

2.1、封测厂商业绩见底,封测需求企稳回暖

集成电路产业链由芯片设计、晶圆制造封装测试三个环节组成。上游芯片设计 是指建立电子元件间互连模型并输出电路设计版图的过程。中游集成电路制造是 指根据电路设计版图,在晶片或介质基片上加工制作集成电路的过程。下游集成 电路封测是指把已制造完成的集成电路晶圆进行封装以与外部电路形成电气连接, 并且进行结构及电气功能的测试,以保证芯片符合系统需求的过程。

45bf15da-f77e-11ed-90ce-dac502259ad0.png

伴随集成电路产业不断发展,全球封测市场规模不断扩大。2021 年,由于集成电 路产能紧缺,上游原材料价格上涨,部分封测厂商提高了产品价格。此外,下游 市场需求旺盛,全球集成电路封测市场总体呈现较高的景气程度,2021 年市场规 模 684 亿美元,同比增长 15.74%。

封测行业中全球前十大公司合并占据全球 78%的市场份额,竞争格局基本稳 定。2022 年前十大封测厂商全球市占率合计 77.99%,前三大封测厂商全球市占 率合计 51.90%,行业集中度高。排名前十属于中国的公司市占率合计 60.43%, 中国成为全球封测服务的主要提供方。同时,从 2020-2022 年的数据可以看出, 全球前十大封测厂商在全球封测产业中的排名及市占率变化不大,地位已经得到 巩固,封测行业的竞争格局基本稳定。

封测行业景气度底部企稳,稼动率已有回暖迹象。由于在集成电路产业链中承接 集成电路设计公司的订单并且与集成电路制造企业密切联系,封测行业的景气度 与集成电路整体行业景气度基本一致;由于封测行业竞争格局基本稳定,几大封 测厂商的营收水平变动情况也基本一致。2022 年 8 月开始,日月光月度营收出 现环比下滑趋势,同比增速也从 11 月开始降为负数,11 月、12 月同比增速分别 为-0.69%、-10.82%。从 2023 年 1 月开始,月度营收同比增速逐渐企稳,2 月份 月度营收触底,3、4 月份月度营收相比 2 月份分别增长 14.48%、8.33%,稼动 率有所提升。力成月度营收从 2022 年 7 月份开始环比下滑,环比、同比下滑趋 势均在 2023 年 1 月份触底。2023 年 2 月、3 月和 4 月月度营收分别环比增长 4.31%、5.90%和 1.51%,主要因稼动率触底企稳并逐渐改善。京元电子、欣邦、 南茂月度营收分别在 2 月、2 月、1 月触底,同比增速也已经企稳。

45d0780c-f77e-11ed-90ce-dac502259ad0.png

国内大陆各封测企业一季度营收触底。国内各封测企业,长电科技、通富微电、 华天科技、甬矽电子季度营收水平在 2023Q1 均出现显著下滑。从 2022Q4 到 2023Q1,季度营收不断恶化。2023Q1 四大半导体封测厂商营业总收入 131.66 亿 元,同比下滑-18.87%。除通富微电在 2023Q1 仍能保持 3%的营收同比增速以 外,长电科技、华天科技、甬矽电子 2023Q1 的营收状况均远差于 2022 年,分 别减少 28%,26%,27%。

国内大陆各封测企业一季度利润下滑较多。2023Q1 国内四大半导体封测板块平均毛利率为 8.42%,同比下滑 11.11 个百分点,环比下滑 3.53 个百分点;平均净利 率为-4.29%,同比下滑 13.00 个百分点,环比下滑 3.51 个百分点。主要因为下游 需求较弱,且 IC 设计厂商正在去库存阶段,封测厂商稼动率处于低迷状态。

大陆封测厂商营收状况有望先于台厂好转。台厂方面,短期看稼动率已有复苏迹 象。中期看由于主要承接海外订单,受全球大环境因素影响大。日月光在一季度 法说会上表示,客户需求复苏的速度低于预期,虽然现阶段确实有来自消费性电 子的一些急单,但在宏观环境影响下,终端需求依旧疲软,客户也仍在进行去库 存,二季度营收大概率延续一季度情况,预期下半年业绩将会出现相对全面的复 苏。力成在一季度法说会上表示,二季度营收将优于一季度,但存储去库存时间 比原先预期长,预期第三季度末到第四季度可以看到产业需求明显复苏。大陆厂 商方面,由于 1、2 月份业绩迅速恶化,营收基数低,目前环比已经逐渐改善。另 外由于部分下游厂商二季度将推出新品,封测需求上升,促使稼动率逐渐抬升, 大陆封测厂商业绩有望先于台厂回暖。从产业趋势上看,涉及汽车电子业务以及 具有先进封装能力的厂商在复苏中更具优势。

2.2、封测行业重资产属性强,景气度上行时利润弹性大

封测行业是典型的重资产行业,固定资产占总资产比重高。2022 年,全球前六大 封测公司中,日月光的固定资产占总资产比重为 37.91%;安靠、长电科技、通富 微电的固定资产占总资产比重分别为 45.96%、49.53%、42.46%;力成科技和华天 科技固定资产占总资产比重分别为 54.48%、53.05%。可以看出,封测公司固定资 产占总资产比重较大,是典型的重资产型公司。

45e12f30-f77e-11ed-90ce-dac502259ad0.png

封测企业固定成本高,利润受稼动率影响大。由于封测行业是重资产行业,固定 资产比重大,因此属于固定成本的固定资产折旧也相对较高,占主营业务成本比 重较大。2022 年国内封测企业中,长电科技、通富微电、华天科技和甬矽电子的 固定资产折旧占主营业务成本分别为 12.75%、15.79%、22.66%和 24.61%。

目前封测企业稼动率尚未开满,预期未来稼动率持续提升时,利润增长弹性较大。日月光在一季度法说会上表示,目前企业稼动率在 60%左右,这一水平可能会持 续到二季度,但未来会迎来实质性的改善,预期下半年某个时候稼动率会达到 80% 的水平。目前大陆封测企业的产能利用率也处于历史低位,但已有回暖迹象,预 计从三季度开始,随着更多的 IC 设计公司复苏,去库存完成结合新应用发力,国 内工厂和国外工厂产能利用率将先后恢复,第三到第四季度将达到比较健康的状 态。随着稼动率的提升,结合封测企业的重资产属性,可以预见下半年需求上升 时,封测企业有望迎来利润的较快增长。

2.3、先进封装市场广阔,有望成为封测行业新增长点

先进封装技术与传统封装技术主要以是否采用焊线(即引线焊接)来区分。传统 封装一般利用引线框架作为载体,采用引线键合互连的形式进行封装,即通过引 出金属线实现芯片与外部电子元器件的电气连接;而先进封装主要是采用倒装等 键合互连的方式来实现电气连接。

“后摩尔时代”,先进封装成为延续摩尔定律的重要手段。2015 年以后,集成电路 制程的发展进入了瓶颈,7nm、5nm、3nm 制程的量产进度均落后于预期。随着台 积电宣布 2nm 制程工艺实现突破,集成电路制程工艺已接近物理尺寸的极限,集 成电路行业进入了“后摩尔时代”。“后摩尔时代”制程技术突破难度较大,工艺制 程受成本大幅增长和技术壁垒等因素上升改进速度放缓。为寻求提升集成电路产 品系统集成、高速、高频、三维、超细节距互连等特征,提升芯片集成密度和芯 片内连接性能已成为当今集成电路产业的新趋势,先进封装技术能够在再布线层 间距、封装垂直高度、I/O 密度、芯片内电流通过距离等方面提供更多解决方案。行业内先后出现了 Bumping、FC、WLCSP、2.5D、3D 等先进封装技术,先进封 装已经成为延续摩尔定律的重要途径。先进封装分为晶圆级封装和系统级封装两个发展方向。封装上游承接晶圆制造后 续工作,下游为不同应用领域集成电路芯片提供适合的封装方案,逐渐向两个方 向发展:晶圆级封装和系统级封装。

45ed63e0-f77e-11ed-90ce-dac502259ad0.png

下游应用需求广泛,先进封装有望成为未来封测市场的主要增长点。近年来,5G 通信技术物联网、大数据、人工智能、视觉识别、自动驾驶等应用场景的快速 兴起,应用市场对芯片功能多样化的需求程度越来越高。“后摩尔时代”,先进封 装技术能在不单纯依靠芯片制程工艺实现突破的情况下,通过晶圆级封装和系统 级封装,提高产品集成度和功能多样化,满足终端应用对芯片轻薄、低功耗、高 性能的需求,同时大幅降低芯片成本。因此,先进封装市场规模有望伴随下游应 用需求的提升而不断增长。根据 Yole 的预测,全球先进封装在集成电路封测市场 中所占份额将持续增加。2014 年,全球先进封装市场规模约为 202 亿美元,约占 据封测市场 38%的份额。预计到 2026 年,全球封测市场规模将达到 921 亿美元, 先进封装市场规模将达到 459 亿美元,约占据封测市场 50%的份额。

GPT 算力提升需求推动 Chiplet 技术发展,先进封装获得更多关注。传统的延续 摩尔定律提升芯片性能的方式往往意味着更复杂的设计,更多更密集的晶体管, 更大的芯片面积,但在后摩尔时代也将意味着更高的成本和更低的良品率。Chiplet采用先进封装,利用小芯片的组合代替大的单片芯片,借助小芯片的可重用性和 高良率等优势可以有效降低芯片设计和制造成本。未来如果 GPT 市场不断扩大, “后摩尔时代”算力提升的需求将使得 Chiplet 技术得到更多应用,对先进封装的需 求也将越来越高。

各个先进封装技术的市场趋势有所不同。据 Yole 统计,2021 年先进封装市场份 额中,倒装技术(Flip Chip)占比最高,包括 FC Ball Grid Array (FCBGA), FC Chip Scale Packaging (FCCSP) 和 FC Systems-in-Packages (FC-SiPs),共占据先进封装 70%左右的市场份额。预计 2021 年到 2027 年间,Embedded Die (ED) in laminate substrates,2.5D/3D 和 fan-out 技术会取得最高的年复合增长率,分别为 24%,14% 和 11%。

3、封测行业估值重回历史低位,悲观因素可能已被充分消化

封测厂商营收变动与半导体行业景气度具有高度相关性。从规模上看,封测厂商 季度营收增长趋势与全球半导体月度销售额基本一致;从同比增速上看,封测厂 商季度营收同比增速也与全球半导体月度销售额同比增速存在相似的周期变动。

46171636-f77e-11ed-90ce-dac502259ad0.png

封测公司股价走势具有明显的周期特征。复盘 2008 年以来的几轮半导体周期, 2008 年末、2012 年初、2015 年末、2019 年末对应全球半导体月度销售额同比增 速的“谷”;2010 年初、2014 年初、2017 年初、2021 年末对应全球半导体月度销 售额同比增速的“峰”。日月光、安靠、长电科技、通富微电、华天科技的股价走势 与半导体景气度由谷到峰的上行趋势和由峰到谷的下行趋势具有较强的相关性。

封测公司估值已经重回历史低位。日月光近十年 PB 最高 2.66,最低 1.04,当前 (2023/5/17)股价对应 PB1.65,历史分位为 36.36%;安靠近十年 PB 最高 2.95, 最低 0.71,当前(2023/5/17)股价对应 PB1.51,历史分位为 37.13%。

长电科技近十年 PB 最高 7.97,最低 0.98,当前(2023/5/17)股价对应 PB2.14, 历史分位为 20.17%;通富微电近十年 PB 最高 9.22,最低 1.23,当前(2023/5/17) 股价对应 PB2.14,历史分位为 19.74%;华天科技近十年 PB 最高 7.81,最低 1.41, 当前(2023/5/17)股价对应 PB1.98,历史分位为 11.82%。

4、重点公司分析

长电科技

1. 公司简介

封测龙头公司,业务覆盖面广

长电科技是一家全球领先的芯片封测厂商,根据芯思想研究院发布的 2022 年全球 委外封测榜单,长电科技市占率 10.71%,在全球前十大 OSAT 厂商中排名第三, 中国大陆第一。公司业务包括集成电路的系统集成、设计仿真、技术开发、产品 认证、晶圆中测、晶圆级中道封装测试、系统级封装测试、芯片成品测试,涵盖 了高、中、低各种半导体封测类型,终端应用涉及网络通讯、移动终端、高性能 计算、车载电子、大数据存储、人工智能与物联网、工业智造等领域,覆盖面广。公司目前在韩国、新加坡、中国江阴、滁州、宿迁均设有运营中心,可向世界各 地的半导体客户提供直运服务。

技术实力深厚,具备先进封装能力

长电科技在 5G 通信、高性能计算、消费、汽车和工业等重要领域拥有行业领先的 半导体先进封装技术。在 5G 通信领域,公司在大颗 fcBGA 封装测试技术上累积 有十多年经验,具备从 12x12mm 到 77.5x77.5mm 全尺寸 fcBGA 产品工程与量产 能力。2022 公司完成 5G 相关的毫米波 RF 产品和测试解决方案,WiFi-6e 及 RFFE 模组的开发并投入生产。在存储领域,公司拥有 20 多年 memory 封装量产经验, 16 层 NAND flash 堆叠,35um 超薄芯片制程能力,Hybrid 异型堆叠等,都处于国 内行业领先的地位。D3 工厂已掌握了主要用于 RFFE SiP 封装的双面塑封 BGA 封 装技术。在高性能计算领域,公司将研发投入到高密度多层重布线扇出型封装技 术FO-MCM,该技术可以提供稳定高良率的产出。长电先进完成了XDFOITM 2.5D 试验线的建设,已按计划进入稳定量产阶段,同步实现国际客户 4nm 节点多芯片 系统集成封装产品出货。在汽车电子领域,公司韩国工厂与下游企业合作研发了 用于新能源汽车大客户的芯片,将用于该客户车载娱乐信息ADAS 辅助驾驶。2022 年,公司在封装测试领域保持知识产权领域的领先地位,其中有效专利保有 量在该领域居全世界第二,中国大陆第一。

客户群优质多元

公司业务覆盖地区广泛,在全球拥有稳定的多元化优质客户群,涵盖集成电路制 造商、无晶圆厂公司及晶圆代工厂,遍布世界主要地区且许多都是各自领域的市 场领导者。公司第一二大股东分别为国家集成电路产业投资基金股份有限公司和 芯电半导体(上海)有限公司。

2. 公司业绩

长电科技 2022 年度实现营收 337.62 亿元,同比增长 10.69%;实现归母净利润 32.31 亿元,同比增长 9.20%。在半导体行业景气下滑的背景下,公司依然实现了 稳健增长,主要原因有:1)公司不断优化产品结构,积极布局汽车电子、5G 通 信、高性能计算、存储等高附加值市场;2)积极推进生产线自动化和智能化制造, 实现降本增效;3)星科金朋新加坡厂在 2022 年度营业收入 19.46 亿美元,同比 增加 17.46%;净利润 2.73 亿美元,同比增加 98.01%。

462838ee-f77e-11ed-90ce-dac502259ad0.png

从盈利能力来看,主要受整体行业低迷影响,公司 22 年毛利率 17.04%,同比下 降 1.37 个百分点;公司净利润率 9.57%,同比下滑 0.14 个百分点。

通富微电

1. 公司简介

行业地位快速上升,先进封装实力强劲

通富微电在全球前十大封测企业中营收增速连续 3 年保持第一,2022 年营收规模 首次进入全球四强。公司不断加强自主创新,自建 2.5D/3D 产线全线通线,1+4 产 品及 4 层/8 层堆叠产品研发稳步推进;基于 Chip@Last 工艺的 Fan-out 技术,实 现 5 层 RDL 超大尺寸封装(65×65mm);超大多芯片 FCBGA@MCM 技术,实 现最高 13 颗芯片集成及 100×100mm 以上超大封装;在高性能计算、存储器、汽 车电子、显示驱动、5G 等应用领域,大力开发扇出型、圆片级、倒装焊等封装技 术并实现产能扩充。

背靠 AMD 大客户,多地布局提升规模优势

公司客户资源覆盖国际巨头企业以及各个细分领域龙头企业,主要客户有 AMD、 恩智浦、联发科英飞凌德州仪器意法半导体、韦尔股份、兆易创新、卓胜 微、艾为电子等。通过并购,公司与 AMD 形成了“合资+合作”的强强联合模式, 建立了紧密的战略合作伙伴关系。公司是 AMD 最大的封装测试供应商,占其订 单总数的 80%以上。公司先后在江苏南通崇川、南通苏通科技产业园、安徽合肥、 福建厦门建厂布局;通过收购 AMD 苏州及 AMD 槟城各 85%股权,在江苏苏州、 马来西亚槟城拥有生产基地;2021 年,公司新增南通市北高新区生产基地。目前, 公司产能方面已形成多点开花的局面,有利于公司就近更好地服务客户,争取更 多地方资源。同时,先进封装产能的大幅提升,为公司带来更为明显的规模优势。

2. 公司业绩

通富微电 2022 年度实现营收 214.29 亿元,同比增长 35.52%;实现归母净利润 5.02 亿元,同比下滑 47.53%。营收主要受益于大客户订单而维持增长,利润主要受行 业景气度下行、折旧计提较 2021 年增加近 9.47 亿元、汇兑损失和研发投入增加 等因素影响而出现下滑。

从盈利能力来看,公司 22 年毛利率 13.90%,同比下降 3.26 个百分点;公司净利 润率 2.48%,同比下滑 3.64 个百分点。

462f1998-f77e-11ed-90ce-dac502259ad0.png

华天科技

1. 公司简介

积极研发投入先进封测技术

华天科技 2022 年营收 119.06 亿人民币,根据芯思想研究院发布的 2022 年全球封 测前十强榜单,华天科技市占率 3.85%,在全球前十大 OSAT 中位列第六,在中 国大陆位列第三。目前公司集成电路封装产品主要有 DIP/SDIP、SOT、SOP、SSOP、 TSSOP/ETSSOP、QFP/LQFP/TQFP、QFN/DFN、BGA/LGA、FC、MCM(MCP)、 SiP、WLP、TSV、Bumping、MEMS、Fan-Out 等多个系列。产品主要应用于计算 机、网络通讯、消费电子及智能移动终端、物联网、工业自动化控制、汽车电子 等电子整机和智能化领域。2022 年公司持续加大研发投入,完成了 3D FO SiP 封 装工艺平台、基于 TCB 工艺的 3D Memory 封装技术的开发;双面塑封技术、激 光雷达产品完成工艺验证;基于 232 层 3D NAND Flash Wafer DP 工艺的存储器产 品、长宽比达 7.7:1 的侧面指纹、PAMiD 等产品均已实现量产;与客户合作开发 HBPOP 封装技术,共获得授权专利 69 项,其中发明专利 7 项。

2. 公司业绩

华天科技 2022 年度实现营收 119.06 亿元,同比下降 1.58%,实现归母净利润 7.54 亿元,同比下降 46.74%。2022 年公司营收及利润下滑主要受市场需求不足和去库 存等不利因素影响。

从盈利能力来看,公司 22 年毛利率 16.84%,同比下降 7.77 个百分点;公司净利 润率 8.59%,同比下滑 5.62 个百分点。

464c6408-f77e-11ed-90ce-dac502259ad0.png

甬矽电子

1. 公司简介

封测行业新秀

甬矽电子成立于 2017 年 11 月,根据芯思想研究院发布的 2022 年中国本土封测代 工(OSAT)10 亿元俱乐部榜单,公司排名第六。公司封装产品主要包括“高密度 细间距凸点倒装产品(FC 类产品)、系统级封装产品(SiP)、扁平无引脚封装产 品(QFN/DFN)、微机电系统传感器(MEMS)”4 大类别,主要应用于射频前端 芯片、AP 类 SoC 芯片、触控芯片、WiFi 芯片、蓝牙芯片、MCU 等物联网芯片、 电源管理芯片、计算类芯片、工业类和消费类等领域。2022 年,公司共有 6 家客 户销售额超过 1 亿元,13 家客户(含前述 6 家客户)销售额超过 5000 万元,客户结构持续优化。

聚焦先进封装

公司从成立之初即聚焦集成电路封测业务中的先进封装领域,全部产品均为 QFN/DFN、WB-LGA、WB-BGA、Hybrid-BGA、FC-LGA 等中高端先进封装形式, 并在系统级封装(SiP)、高密度细间距凸点倒装产品(FC 类产品)、大尺寸/细 间距扁平无引脚封装产品(QFN/DFN)等先进封装领域具有较为突出的工艺优势 和技术先进性,产品结构较为优化。近年来,公司陆续完成了倒装和焊线类芯片 的系统级混合封装、5 纳米晶圆倒装等技术的开发,并实现稳定量产。同时,公司 已经掌握系统级封装电磁屏蔽(EMI Shielding)技术、芯片表面金属凸点(Bumping) 技术,并积极开发 Fan-in/Fan-out、2.5D/3D 等晶圆级封装技术、高密度系统级封 装技术、大尺寸 FC-BGA 封装技术等,为公司未来业绩可持续发展积累了较为深 厚的技术储备。

2. 公司业绩

甬矽电子 2022 年度实现营收 21.77 亿元,同比增长 5.96%,实现归母净利润 1.38 亿元,同比下降 57.11%。2022 年公司利润下滑主要是半导体行业需求整体出现周 期性下行影响。

从盈利能力来看,公司 22 年毛利率 21.91%,同比下降 10.36 个百分点;公司净利 润率 6.30%,同比下滑 9.38 个百分点。

4667fb50-f77e-11ed-90ce-dac502259ad0.png

审核编辑 :李倩

声明:本文内容及配图由入驻作者撰写或者入驻合作网站授权转载。文章观点仅代表作者本人,不代表电子发烧友网立场。文章及其配图仅供工程师学习之用,如有内容侵权或者其他违规问题,请联系本站处理。 举报投诉
  • 半导体
    +关注

    关注

    328

    文章

    24539

    浏览量

    202211
  • 封装
    +关注

    关注

    124

    文章

    7283

    浏览量

    141104
  • 人工智能
    +关注

    关注

    1776

    文章

    43899

    浏览量

    230640
  • 先进封装
    +关注

    关注

    0

    文章

    271

    浏览量

    90

原文标题:半导体封测行业研究报告:封测回暖,先进封装成长空间广阔

文章出处:【微信号:AIOT大数据,微信公众号:AIOT大数据】欢迎添加关注!文章转载请注明出处。

收藏 人收藏

    评论

    相关推荐

    半导体封测厂日月光投控宣布收购英飞凌2座封测厂!

    2月22日消息,据台媒报道,半导体封测厂日月光投控今天宣布,收购芯片大厂英飞凌的菲律宾和韩国两座后段封测厂,扩大车用和工业自动化应用的电源芯片模块封测与导线架
    的头像 发表于 02-23 09:49 215次阅读

    约一亿!半导体封测大厂扩产先进封装

    日月光投控旗下日月光半导体积极扩充马来西亚封测厂产能,2022年11月马来西亚槟城新厂四厂及五厂动土,预计2025年完工,日月光当时指出,将在5年内投资3亿美元,扩大马来西亚生产厂房,采购先进设备,训练培养更多工程人才。
    的头像 发表于 01-23 16:10 351次阅读

    下周四厦门见|云天半导体亮相半导体先进封测技术峰会,助力实体经济高质量发展!

    来源:ACT半导体芯科技 随着我国集成电路国产化进程的加深、下游应用领域的蓬勃发展以及国内先进封测龙头企业工艺技术的不断进步,先进封测
    的头像 发表于 09-15 15:40 737次阅读
    下周四厦门见|云天<b class='flag-5'>半导体</b>亮相<b class='flag-5'>半导体</b><b class='flag-5'>先进</b><b class='flag-5'>封测</b>技术峰会,助力实体经济高质量发展!

    SiP China 2023 | 佰维存储:立足存储器先进封测优势 迈向晶圆级封测

    近日, 惠州佰维总经理刘昆奇受邀在 SiP China 2023大会上 发表了主题为《浅析SiP里的存储封装》的演讲,分享佰维存储在先进封测领域的技术布局以及典型应用案例,与行业大咖共
    的头像 发表于 08-31 12:15 364次阅读
    SiP China 2023 | 佰维存储:立足存储器<b class='flag-5'>先进</b><b class='flag-5'>封测</b>优势 迈向晶圆级<b class='flag-5'>封测</b>

    SiP China 2023 | 佰维存储:立足存储器先进封测优势 迈向晶圆级封测

    近日,惠州佰维总经理刘昆奇受邀在SiP China 2023大会上发表了主题为《浅析SiP里的存储封装》的演讲,分享佰维存储在先进封测领域的技术布局以及典型应用案例,与行业大咖共话
    发表于 08-30 17:43 257次阅读
    SiP China 2023 | 佰维存储:立足存储器<b class='flag-5'>先进</b><b class='flag-5'>封测</b>优势 迈向晶圆级<b class='flag-5'>封测</b>

    半导体先进封测设备及市场研究

    半导体行业呈现垂直化分工格局,上游包括半导体材料、半导体制造设备等;中游为半导体生产,具体可划分为芯片设计、晶圆制造、
    的头像 发表于 08-29 16:24 839次阅读
    <b class='flag-5'>半导体</b><b class='flag-5'>先进</b><b class='flag-5'>封测</b>设备及市场<b class='flag-5'>研究</b>

    半导体封测产业链重点公司梳理

    分别为日月光、 安靠和长电科技,市占率合计 51.9%,行业集中度较高。 在2022年营收前三十榜单中,中国大陆上榜四家,其中长电科技、通富微电和华天科技稳居前十,甬矽电子作为行业新秀营收排名达到二十二名。 长电科技:封测龙头公
    的头像 发表于 08-25 09:33 651次阅读

    封装封测的区别

    封装封测的区别  封装封测都是半导体制造中非常重要的步骤,它们分别负责IC芯片的包装和测试。虽然它们具有相似之处,但是它们之间仍然存在着
    的头像 发表于 08-24 10:42 2829次阅读

    什么是芯片封测半导体测试封装用到什么材料?

    的可靠性、稳定性和性能,并将其封装成可用的设备。 半导体测试封装需要使用许多材料,这些材料有多种不同的目的和功能。在本文中,我们将介绍芯片封测的定义、流程以及使用的材料。 一、芯片
    的头像 发表于 08-24 10:42 4589次阅读

    ic封装测试是做什么?ic封测是什么意思?芯片封测是什么?

    ic封装测试是做什么?ic封测是什么意思?芯片封测是什么? IC封装测试是指对芯片进行封装前、封装
    的头像 发表于 08-24 10:41 2573次阅读

    中国科技大侦探:揭秘功率半导体封测技术的新突破!

    半导体封测
    北京中科同志科技股份有限公司
    发布于 :2023年08月19日 10:28:32

    厦门场会议|9月强势来袭,聚焦半导体先进封测等议题,部分嘉宾提前揭晓!

    来源:ACT半导体芯科技 随着我国集成电路国产化进程的加深、下游应用领域的蓬勃发展以及国内先进封测龙头企业工艺技术的不断进步,先进封测
    的头像 发表于 08-18 18:00 936次阅读
    厦门场会议|9月强势来袭,聚焦<b class='flag-5'>半导体</b><b class='flag-5'>先进</b><b class='flag-5'>封测</b>等议题,部分嘉宾提前揭晓!

    1天工艺技术培训、1天技术产业报告分享,凝聚先进封测奋进力量!

    来源:ACT半导体芯科技 随着我国集成电路国产化进程的加深、下游应用领域的蓬勃发展以及国内先进封测龙头企业工艺技术的不断进步,先进封测
    的头像 发表于 07-17 20:04 357次阅读
    1天工艺技术培训、1天技术产业<b class='flag-5'>报告</b>分享,凝聚<b class='flag-5'>先进</b><b class='flag-5'>封测</b>奋进力量!

    半导体先进封测需求强劲,踏浪前行!

    来源:ACT半导体芯科技 随着我国集成电路国产化进程的加深、下游应用领域的蓬勃发展以及国内先进封测龙头企业工艺技术的不断进步,先进封测
    的头像 发表于 07-03 15:17 522次阅读
    <b class='flag-5'>半导体</b><b class='flag-5'>先进</b><b class='flag-5'>封测</b>需求强劲,踏浪前行!

    日月光:台湾先进封测将赴欧美设厂

    封测大厂日月光投控公布最新2022年报,指半导体供应链“逆全球化”成为新常态,封测厂将随着台湾晶圆厂赴欧美设厂,供当地生产先进制程芯片,维持台湾封测
    的头像 发表于 06-12 11:32 684次阅读