0
  • 聊天消息
  • 系统消息
  • 评论与回复
登录后你可以
  • 下载海量资料
  • 学习在线课程
  • 观看技术视频
  • 写文章/发帖/加入社区
创作中心

完善资料让更多小伙伴认识你,还能领取20积分哦,立即完善>

3天内不再提示

简谈FPGA引脚信号分配的几个原则

Hack电子 来源:Hack电子 2023-05-04 17:38 次阅读

现在的FPGA正变得越来越复杂,向引脚分配信号的任务曾经很简单,现在也变得相当繁复。下面这些用于向多用途引脚指配信号的指导方针有助于设计师根据最多到最少的约束信号指配原则提前考虑信号指配,并减少反复的次数。

这里有一个前提,即假定设计师已经根据设计的大概规模和信号要求确定了目标器件范围和型号。对以下每一步都应在考虑单极信号前优先考虑差分对信号。

最先指配那些只能在特定引脚上工作的特殊信号,正常情况下是指串行I/O信号和全局时钟信号。其次指配大型和/或高速信号总线,特别是那些要跨越多个库或区域的信号。如果总线需要局部时钟,那么就要考虑具有更多局部时钟引脚的库或区域,并先指配局部时钟。

如果针对FPGA器件采用了多种I/O标准,那么设计师还必须先考虑将I/O信号映射到库/区。这一步需要慎重考虑,因为许多I/O标准和参考电压是不兼容的。一些I/O标准要求在特殊引脚上输入参考电压,使得这些引脚不可再用于一般用途。将高速输出和双向信号分开指配在一定程度上可避免同时开关输出噪声(SSO)问题。

第三,采用第二步中相同的基本规则指配速度较慢和约束较少的总线,但不用太多考虑SSO等问题。第四,最后完成个别信号的指配。如果只剩下少量引脚,或在第一次反复时用完了所有的引脚,可以考虑选用具有更多I/O数量的下一种器件,因为根据市场情况肯定还会临时增加某些功能,而且没有哪个设计师愿意在设计的最后阶段再做一遍指配过程吧。

在以上每一步中,要建立含有正确信号分配和I/O标准的约束文件,以及含有I/O设计部分的HDL文件。然后再开始布局和布线,因为按从最多约束信号到最少约束信号的顺序可以更好地发现错误

审核编辑:汤梓红

声明:本文内容及配图由入驻作者撰写或者入驻合作网站授权转载。文章观点仅代表作者本人,不代表电子发烧友网立场。文章及其配图仅供工程师学习之用,如有内容侵权或者其他违规问题,请联系本站处理。 举报投诉
  • FPGA
    +关注

    关注

    1602

    文章

    21320

    浏览量

    593201
  • 信号
    +关注

    关注

    11

    文章

    2639

    浏览量

    75388
  • HDL
    HDL
    +关注

    关注

    8

    文章

    323

    浏览量

    47104
  • 总线
    +关注

    关注

    10

    文章

    2706

    浏览量

    87216
  • 引脚
    +关注

    关注

    16

    文章

    1044

    浏览量

    48963

原文标题:简谈FPGA引脚信号分配的几个原则

文章出处:【微信号:Hack电子,微信公众号:Hack电子】欢迎添加关注!文章转载请注明出处。

收藏 人收藏

    评论

    相关推荐

    FPGA的片内资源

    FPGA的片内资源
    发表于 01-08 22:12

    FPGA管教分配需要考虑因素

    方面的要求。所以在管脚分配前对 FPGA工作的环境要相当的熟悉,要对其中的信号来自哪里去向何方非常的清楚,这就按照连线最短的原则将对应的信号
    发表于 01-10 22:40

    FPGA 管脚分配需要考虑的因素

    ,这就按照连线最短的原则将对应的信号分配到与外部器件连线最近的BANK 中,2 、 掌握FPGA 内部BANK 的分配的情况。 现在
    发表于 08-11 10:27

    关于FPGA引脚分配的问题

    刚开始学习fpga,对引脚分配的问题不是很理解,求高手给予帮助 例如fpga的线路板上23引脚接clk ,28
    发表于 01-06 15:24

    图文解析如何分配FPGA管脚

    由于外部的延时过大而不满足时序方面的要求。所以 在管脚分配前对 FPGA 工作的环境要相当的熟悉,要对其中的信号来自哪里去向何方非常的清楚,这就按照连线最短的原则将对应的
    发表于 01-06 17:38

    例说FPGA连载32:PLL例化配置与LED之使用Pin Planner进行引脚分配

    是基于什么?拍拍脑袋,随心所欲?非也,引脚分配一定是有理有据,那就是我们已经设计好的硬件原理图。如图3.21所示,这里FPGA所使用的时钟信号ext_clk对应原理图上的网络名CLK
    发表于 09-14 16:59

    FPGA管脚分配需要考虑的因素

    外部的延时过大而不满足时序方面的要求。所以在管脚分配前对 FPGA工作的环境要相当的熟悉,要对其中的信号来自哪里去向何方非常的清楚,这就按照连线最短的原则将对应的
    发表于 03-25 18:46

    勇敢的芯伴你玩转Altera FPGA连载49:PWM蜂鸣器驱动之引脚分配

    `勇敢的芯伴你玩转Altera FPGA连载49:PWM蜂鸣器驱动之引脚分配特权同学,版权所有配套例程和更多资料下载链接:http://pan.baidu.com/s/1i5LMUUD
    发表于 02-27 21:50

    哪些FPGA 6 Spartan引脚可以分配数据信号

    大家好,我刚开始学习FPGA并试图弄清楚哪些FPGA引脚可以分配我的数据信号。我正在使用FPGA
    发表于 04-23 06:55

    FPGA引脚信号指配有什么原则

    FPGA引脚信号指配有什么原则
    发表于 04-30 07:04

    如何克服FPGA I/O引脚分配挑战?

    如何克服FPGA I/O引脚分配挑战?
    发表于 05-06 08:57

    FPGA引脚信号指配原则介绍

    现在的FPGA正变得越来越复杂,向引脚分配信号的任务曾经很简单,现在也变得相当繁复。下面这些用于向多用途引脚
    发表于 11-08 18:23 875次阅读

    工程师必须要知道的FPGA引脚信号分配原则

    现在的FPGA引脚分配信号的任务曾经很简单,现在也变得相当繁复。
    发表于 07-27 20:24 6764次阅读

    FPGA引脚信号如何分配FPGA引脚分配几个基本原则

    现在的FPGA正变得越来越复杂,向引脚分配信号的任务曾经很简单,现在也变得相当繁复。下面这些用于向多用途引脚指配
    发表于 05-18 10:51 2.9w次阅读
    <b class='flag-5'>FPGA</b><b class='flag-5'>引脚</b><b class='flag-5'>信号</b>如何<b class='flag-5'>分配</b>?<b class='flag-5'>FPGA</b><b class='flag-5'>引脚</b><b class='flag-5'>分配</b>的<b class='flag-5'>几个</b>基本<b class='flag-5'>原则</b>

    MagicSOPC主板FPGA-IO引脚分配

    本文档内容介绍了MagicSOPC主板FPGA-IO引脚分配表,供参阅
    发表于 03-15 15:50 6次下载