0
  • 聊天消息
  • 系统消息
  • 评论与回复
登录后你可以
  • 下载海量资料
  • 学习在线课程
  • 观看技术视频
  • 写文章/发帖/加入社区
创作中心

完善资料让更多小伙伴认识你,还能领取20积分哦,立即完善>

3天内不再提示

什么是AMBA,我们为什么需要它?

快乐的芯片工程师 来源:快乐的芯片工程师 2023-05-04 10:18 次阅读

高级微控制器总线架构(Advanced Microcontroller Bus Architecture)或 AMBA 是一种开放标准的片上互连规范,用于片上系统 (SoC:system- on-a-chip) 设计中功能块的连接和管理。

本质上,AMBA 协议定义了功能块如何相互通信。下图显示了 SoC 设计的示例。 该 SoC 有几个使用 AMBA 协议(如 AXI)相互通信的功能块:

a56a4b74-e7db-11ed-ab56-dac502259ad0.png

AMBA 简化了具有多个处理器和大量控制器及外围设备的设计开发。 然而,随着时间的推移,AMBA 的范围不断扩大,远远超出了微控制器设备。如今,AMBA 广泛应用于一系列 ASIC 和 SoC 部件中。 这些部件包括用于物联网子系统、智能手机网络 SoC 等设备的应用处理器。

AMBA 提供了几个好处:

Efficient IP reuseIP 复用是减少 SoC 开发成本和时间尺度的重要组成部分。 AMBA 规范提供了支持 IP 复用的接口标准。 因此,数以千计的 SoC 和 IP 产品都在使用 AMBA 接口。

FlexibilityAMBA 提供了与一系列 SoC 一起工作的灵活性。 IP 复用需要一个通用标准,同时支持具有不同功率、性能和面积要求的各种 SoC。 Arm 提供了一系列针对这些不同要求进行了优化的接口规范。

Compatibility:标准接口规范(如 AMBA)允许来自不同设计团队或供应商的 IP 组件之间的兼容性。

Support:AMBA 得到了很好的支持。 它在整个半导体行业得到广泛实施和支持,包括来自第三方 IP 产品和工具的支持。

像 AMBA 这样的总线接口标准通过它们支持的性能来区分。 总线接口性能的两个主要特征是:

Bandwidth:可以通过接口驱动数据的速率。 在同步系统中,最大带宽受限于时钟速度和数据总线宽度的乘积。

Latency事务启动和完成之间的延迟。 在基于突发的系统中,延迟数字通常指的是第一次传输的完成而不是整个突发。

接口的效率取决于它以零延迟实现最大带宽的程度。





审核编辑:刘清

声明:本文内容及配图由入驻作者撰写或者入驻合作网站授权转载。文章观点仅代表作者本人,不代表电子发烧友网立场。文章及其配图仅供工程师学习之用,如有内容侵权或者其他违规问题,请联系本站处理。 举报投诉
  • 微控制器
    +关注

    关注

    48

    文章

    6809

    浏览量

    147636
  • 处理器
    +关注

    关注

    68

    文章

    18275

    浏览量

    222157
  • 片上系统
    +关注

    关注

    0

    文章

    159

    浏览量

    26390
  • AMBA
    +关注

    关注

    0

    文章

    67

    浏览量

    14812

原文标题:【AXI--01】什么是AMBA,我们为什么需要它?

文章出处:【微信号:快乐的芯片工程师,微信公众号:快乐的芯片工程师】欢迎添加关注!文章转载请注明出处。

收藏 人收藏

    评论

    相关推荐

    什么是AMBA APB4与AMBA3 AHB-Lite1.0协议

    本文我们简单对AMBA4中的APBv2.0(也称为APB4),以及AMBA3AHB-Litev1.0进行简单的了解。
    发表于 04-02 06:30

    AMBA是什么

    AMBAAMBA(Advanced Microprocessor Bus Architecture)是ARM公司提出的一种开放性的SoC总线标准,现在已经广泛的应用于RISC的内核上了。 AMBA
    发表于 08-05 08:16

    AMBA与时钟树

    Peripheral Bus)外围总线。STM32F407也采用了AMBA标准,需要关注的是AHB、APB1、APB2这三条
    发表于 08-12 06:19

    AMBA ATP引擎3.1在Linux环境中编写AMBA ATP流量生成

    AMBA ATP 生态系统利用这一机会,Arm在2019年4月推出了AMBA ATP。AMBA ATP是对硬件接口的流量特征进行建模的一种标准规范。作为可在各个系统间使用的标准,
    发表于 07-07 17:09

    AMBA CHI协议介绍

    (SoC)中。促进了多处理器设计的首次开发,具有大量的控制器和外设。 CHI适用于需要一致性的广泛应用,包括移动,网络、汽车和数据中心。AMBA CHI的设计是为了保持性能在组件和流量不断增加的系统中。 本指南介绍了CHI协议
    发表于 08-02 13:40

    学习架构-AMBA AXI简介

    本指南介绍了高级微控制器总线体系结构(AMBA)AXI的主要功能。 该指南解释了帮助您实现AXI协议的关键概念和细节。 在本指南中,我们介绍: •AMBA是什么。 •为什么AMBA在现
    发表于 08-09 07:37

    AMBA静态内存接口数据表

    AMBA静态存储器接口(SMI)是一个示例设计,显示了AMBA系统中外部总线接口(EBI)的基本要求。 并不打算成为真正系统的“现成”EBI。 这样的EBI设计必须考虑到流程、包装
    发表于 08-21 06:22

    AMBA测试接口驱动程序数据表

    本文档介绍了AMBA测试接口驱动程序(通常称为TICBOX)。 您应该熟悉AMBA及其测试接口协议。 如果没有,请参考AMBA规范(ARM IHI 0001)以了解更多信息。 TICBOX是A
    发表于 08-21 06:43

    AMBA3.0 AXI总线接口协议的研究与应用

    本文介绍了AMBA3.0AXI的结构和特点,分析了新的AMBA3.0AXI协议相对于AMBA2.0的优点。它将革新未来高性能SOC总线互连技术,其特点使更加适合未来的高性能、低延迟设
    发表于 09-20 08:30

    AMBA总线IP核的设计

    文章采用TOP-DOWN 的方法设计了 AMBA 总线IP 核!它包括AHB 和APB两个子IP 核 所有AMBA结构模块均实现了RTL级建模
    发表于 07-25 18:10 92次下载
    <b class='flag-5'>AMBA</b>总线IP核的设计

    基于AMBA总线介绍​

    1.1.AMBA发展史 AMAB1.0 AMBA2.0 AMBA3.0 AMBA4.0 AMBA1.0:ASB协议和APB协议;
    的头像 发表于 05-19 14:22 1874次阅读
    基于<b class='flag-5'>AMBA</b>总线介绍​

    介绍AMBA2.0总线

    1.1.AMBA发展史 AMAB1.0 AMBA2.0 AMBA3.0 AMBA4.0 AMBA1.0:ASB协议和APB协议;
    的头像 发表于 09-06 09:53 2768次阅读
    介绍<b class='flag-5'>AMBA</b>2.0总线

    AMBA总线知识之AHB(上)

    AMBA总线阵营的强大超乎多数人的想象。AMBA总线是一个在SoC领域使用的事实上的标准。AMBA总线的最大优点是开放的架构,和因此带来的免费。开放的总线标准使AMBA总线迅速普及,而
    的头像 发表于 05-04 14:45 2051次阅读
    <b class='flag-5'>AMBA</b>总线知识之AHB(上)

    AMBA总线知识之AHB(下)

    AMBA总线阵营的强大超乎多数人的想象。AMBA总线是一个在SoC领域使用的事实上的标准。AMBA总线的最大优点是开放的架构,和因此带来的免费。开放的总线标准使AMBA总线迅速普及,而
    的头像 发表于 05-04 14:47 1972次阅读
    <b class='flag-5'>AMBA</b>总线知识之AHB(下)

    如何验证AMBA系统级环境

    使用 AMBA 系统环境,我们可以将其配置为使用最少的附加代码实例化尽可能多的 AXI/AHB/APB VIP。因此,这样的环境需要封装以下内容:
    的头像 发表于 05-29 09:33 445次阅读
    如何验证<b class='flag-5'>AMBA</b>系统级环境