0
  • 聊天消息
  • 系统消息
  • 评论与回复
登录后你可以
  • 下载海量资料
  • 学习在线课程
  • 观看技术视频
  • 写文章/发帖/加入社区
创作中心

完善资料让更多小伙伴认识你,还能领取20积分哦,立即完善>

3天内不再提示

EDA仿真验证环境中的激励、检查和覆盖率

jf_GctfwYN7 来源:芯片验证工程师 2023-04-15 10:13 次阅读

下图是一个典型的EDA仿真验证环境,其中主要的组件就是激励生成检查覆盖率收集

这三者缺一不可。

b6af3e12-daca-11ed-bfe3-dac502259ad0.png

· 激励生成是我们验证环境的第一个关键组件,用于驱动DUT到一个预期的状态。

· 在DUT正在处理激励以及完成激励处理之后我们需要实时的或者离线的checker机制来确保芯片按照预期行为工作。

· 覆盖率是最终的量化机制、也可以理解为激励的反馈机制。我们不断地激励着DUT,同时也在进行检查,整个过程中通过覆盖率的量化来反馈验证工程师有没有验证完成?哪里还需要继续验证?

下图是覆盖率反馈到激励,以及表明验证是否完成的一个流程。在这个流程中,我们不断地根据覆盖率调整激励发送的随机机制,直到只剩几个边界场景很难覆盖到,我们再构造定向用例

b6c68cca-daca-11ed-bfe3-dac502259ad0.png

这是一个比较高效的现代EDA仿真验证流程,整个流程中激励生成、检查机制和覆盖率量化都需要保证完备性。

完备的激励+完备的检查机制+完备的覆盖率量化才能够获得有信心的验证结果,缺一不可。另外,这三者中的任何一个做到绝对的完备就是非常难的。

· 如果覆盖率完备了,也可以保证激励的完备。如果发现用例构造过程非常困难,大概率是验证环境架构的不合理。

要么趋向于定向用例,你需要维护非常多的sequence,经常一个需求会让你改动多个sequence;要么趋向完全随机,可控性比较差。这两个情况都降低验证效率。

· checker是必须要保证完备的,否则就算你激励覆盖到了某个场景,你也识别不出来问题。验证的重点是发现所有的bug,然后才是尽可能地保证激励完备性。如果非要分个优先级,个人觉得checker的完备要高于激励的完备。因为如果你遗漏了某个bug,更上层的验证环境也许可以通过集成你的checker来发现问题。

· 覆盖率也非常重要。否则就是在黑暗中胡乱开枪射击,你不知道去过哪里,也就不知道后面要往哪里去了

保证激励、检查和覆盖率的完备,全部都是难点。





审核编辑:刘清

声明:本文内容及配图由入驻作者撰写或者入驻合作网站授权转载。文章观点仅代表作者本人,不代表电子发烧友网立场。文章及其配图仅供工程师学习之用,如有内容侵权或者其他违规问题,请联系本站处理。 举报投诉
  • EDA工具
    +关注

    关注

    4

    文章

    252

    浏览量

    31287
  • EDA仿真技术
    +关注

    关注

    0

    文章

    5

    浏览量

    5412
  • DUT
    DUT
    +关注

    关注

    0

    文章

    180

    浏览量

    11998

原文标题:IC干货 | 验证环境中的激励、检查和覆盖率

文章出处:【微信号:IC修真院,微信公众号:IC修真院】欢迎添加关注!文章转载请注明出处。

收藏 人收藏

    评论

    相关推荐

    一个高效的现代EDA仿真验证流程

    下图是一个典型的EDA仿真验证环境,其中主要的组件就是激励生成、检查
    的头像 发表于 04-13 09:27 1503次阅读

    怎么用Vivado做覆盖率分析

    在做仿真的时候往往会去做代码覆盖率和功能覆盖率的分析,来保证仿真是做的比较充分完备的。
    的头像 发表于 01-03 12:34 589次阅读
    怎么用Vivado做<b class='flag-5'>覆盖率</b>分析

    诚聘IC验证工程师

    激励,统计覆盖率等;3.编写验证文档。任职要求:1.计算机、微电子、自动化等相关专业,本科及以上学历,2年工作经验;2.熟悉c/c++编程语言,Linux操作环境;3.熟悉System
    发表于 02-15 13:39

    基于VMM的验证环境验证MCU指令实现设计

    验证结构,以及以功能覆盖率为指标的验证流程。在本文中,围绕Synopsys的VMM(Verification Methodology Manual)构建了一个MCU验证
    发表于 07-01 08:15

    如何改善5G覆盖率

    改善5G覆盖率的方法
    发表于 12-15 07:52

    如何提高DFT设计测试覆盖率

    提高DFT设计测试覆盖率的有效方法是什么
    发表于 05-07 06:37

    怎么提高非随机图形设计的故障覆盖率

    怎么提高非随机图形设计的故障覆盖率?为LBIST设计提高故障检测能力的技术是什么?
    发表于 05-08 07:11

    VCO的子带覆盖率是否跟开关电容的取值有关?

    仿真VCO时候,开关电容阵列开关电容的值取30fF,子带呈现不规律变化。当取100fF时,子带才呈现比特位控制那样规律变化,但这样子带覆盖率会存在问题。求遇到过同样问题的大神解答下!感谢!
    发表于 06-25 06:19

    嵌入式仿真平台SkyEye的覆盖率分析相关资料下载

    代码执娜行覆盖情况的功能,来检测代码未执行覆盖情况。在覆盖率分析时需要分析嵌入式软件的指令覆盖率、指令函数
    发表于 12-17 07:27

    关于SpinalHDL验证覆盖率收集简单说明

    在做RTL仿真验证时,覆盖率收集往往是我们在验证需要注意的地方,本篇就SpinalHDL
    发表于 06-24 15:56

    重点厘清覆盖率相关的概念以及在芯片开发流程中跟覆盖率相关的事项

    。提个小建议,即使对SV相关语法很熟悉,实现覆盖率模型的时候还是使用最直接和最简单的方式。这样可以提高可读性,便于维护和评审。03 代码覆盖率代码覆盖率,被用来度量RTL哪些代码被
    发表于 09-14 11:57

    Systemverilog覆盖率的合并和计算方式

      在systemverilog,对于一个covergroup来说,可能会有多个instance,我们可能需要对这些instance覆盖率进行操作。  只保存covergroup type的覆盖率
    发表于 03-21 14:24

    针对功能覆盖率验证过程

    针对功能覆盖率验证过程神州龙芯集成电路设计公司徐伟俊 杨鑫 陈先勇 夏宇闻[摘要]:本文在介绍传统验证过程及其局限性的基础上,阐述了针对功能覆盖率
    发表于 12-23 16:12 13次下载

    Verilog代码覆盖率检查

    Verilog代码覆盖率检查检查验证工作是否完全的重要方法,代码覆盖率(codecoverge)可以指示Verilog代码描述的功能有多少在仿真
    发表于 04-29 12:35 7945次阅读

    使用 Veloce 完成局部系统级协议检查覆盖率收敛

    使用 Veloce 完成局部系统级协议检查覆盖率收敛
    发表于 09-05 08:39 4次下载
    使用 Veloce 完成局部系统级协议<b class='flag-5'>检查</b>和 <b class='flag-5'>覆盖率</b>收敛