0
  • 聊天消息
  • 系统消息
  • 评论与回复
登录后你可以
  • 下载海量资料
  • 学习在线课程
  • 观看技术视频
  • 写文章/发帖/加入社区
创作中心

完善资料让更多小伙伴认识你,还能领取20积分哦,立即完善>

3天内不再提示

FPGA入门之Verilog的历史

jf_78858299 来源:CSDN 作者:原来如此呀 2023-03-21 10:28 次阅读

在传统硬件电路的设计方法中,当设计工程师需要设计一个新的硬件、数字电路或数字逻辑系统时,需要为此设计并画出一张线路图, 随后在 CAE(计算机辅助工程分析)工作站上进行设计。

所设计的线路图由线和符号组成,其中线代表了线路,符号代表了基本设计单元, 其取自于工程师构造此线路图使用的零件符号库。对于不同逻辑器件的设计,需要选择对应的符号库,如当设计工程师选择的时标准逻辑器件( 74 系列等)作为板级设计线路图,那么此线路图的符号则需要取自标准逻辑零件符号库;若设计工程师进行了 ASIC 设计,线路图的符号就要取自 ASIC 库专用的宏单元。

这就是传统的原理图设计方法,原理图设计法存在着许多弊端,如当设计者想要实现线路图的逻辑优化时,就需要利用 EDA 工具或者人工进行布尔函数逻辑优化。除此之外,传统原理图设计还存在难以验证的缺点,设计工程师想要验证设计,必须通过搭建硬件平台(比如电路板), 为设计验证工作带来了麻烦。

随着人们对于科技的要求与期待越来越高,电子设计技术发展也越来越快,设计的集成度、复杂程度也逐渐加深,传统的设计方法已经无法满足高级设计的需求,最终出现了借助先进 EDA 工具的一种描述语言设计方法,可以对数字电路和数字逻辑系统进行形式化的描述,这种语言就是硬件描述语言。硬件描述语言,英文全称为 Hardware Description Language,简称 HDL, HDL 是一种用形式化方法来描述数字电路和数字逻辑系统的语言。设计工程师可以使用这种语言来表述自己的设计思路,通过利用 EDA 工具进行仿真、自动综合到门级电路,最终在 ASIC 或 FPGA 实现其功能。

以 2 输入的与门为例来对比原理图设计方法与 HDL 设计方法之间的区别, 在传统的设计方法中设计 2 输入与门可能需到标准器件库中调用 74 系列的器件,但在硬件描述语言中“ &”就是一个与门的形式描述,“ C = A & B”就是一个 2 输入与门的描述。而“ &”就代表了一个与门器件。

硬件描述语言发展至今已有二十多年历史,当今业界的标准中( IEEE 标准)主要有 VHDL 和Verilog HDL 这两种硬件描述语言。 本书采用的是 VerilogHDL 硬件描述语言,接下来着重对其发展的历史及特点进行介绍。

Verilog HDL 语言最初是在 1983 年由 Gateway Design Automation 公司为其模拟产品开发的硬件建模语言, 当时这只是公司产品的专用语言。随着公司模拟、仿真器产品的广泛使用, Verilog HDL 作为一种实用语言逐渐为众多设计者所接受。 1990 年一次致力于增加语言普及性的活动中,Verilog HDL 语言被推向公众领域从而被更多人熟知。

Open Verilog International( OVI)是促进 Verilog 发展的国际性组织。 1992 年, OVI 决定致力于推广 Verilog OVI 标准成为 IEEE 标准。这一推广最后获得成功, Verilog 语言于 1995 年成为IEEE 标准,称为 IEEE Std1364-1995。 其完整标准在 Verilog 硬件描述语言参考手册中有详细描述。

Verilog HDL 语言具有许多 优点,例如 Verilog HDL 语言提供了编程语言接口,通过该接口可以在模拟、验证期间从设计外部访问设计,包括模拟的具体控制和运行。 Verilog HDL 语言不仅定义了语法,而且对每个语法结构都定义了清晰的模拟、仿真语义 。因此,用这种语言编写的模型能够使用 Verilog 仿真器进行验证。 Verilog HDL 提供了扩展的建模能力,其中许多扩展最初很难理解,但是 Verilog HDL 语言的核心子集非常易于学习和使用,这对大多数建模应用来说已经足够。当然,完整的硬件描述语言足以对从最复杂的芯片到完整的电子系统进行描述。

————————————————

版权声明:本文为CSDN博主「原来如此呀」的原创文章,遵循CC 4.0 BY-SA版权协议,转载请附上原文出处链接及本声明。

原文链接:https://blog.csdn.net/Royalic/article/details/121146033

声明:本文内容及配图由入驻作者撰写或者入驻合作网站授权转载。文章观点仅代表作者本人,不代表电子发烧友网立场。文章及其配图仅供工程师学习之用,如有内容侵权或者其他违规问题,请联系本站处理。 举报投诉
  • 硬件电路
    +关注

    关注

    39

    文章

    233

    浏览量

    28988
  • 数字逻辑
    +关注

    关注

    0

    文章

    67

    浏览量

    16454
  • CAE
    CAE
    +关注

    关注

    0

    文章

    71

    浏览量

    23280
收藏 人收藏

    评论

    相关推荐

    [推荐]cpld\fpga\verilog hdl视频教程

    教程目录: 入门篇:第1讲、FPGA设计基础(PDF、视频)第2讲、FPGA设计入门(视频、课后习题)第3讲、VerilogHDL基础(PDF、视频、课后习题)第4讲:
    发表于 03-09 22:56

    [下载]cpld\fpga\verilog hdl视频教程

      cpld\fpga\verilog hdl视频教程入门篇:第1讲、FPGA设计基础(PDF、视频)第2讲、FPGA设计
    发表于 03-26 16:37

    FPGA技术的发展历史和动向

    本文关键字:fpga技术,fpga发展, fpga培训,FPGA应用开发入门与典型实例 一、FPGA
    发表于 08-08 10:24

    Xilinx FPGA无痛入门,海量教程免费下载

    用notepad++的关联设置Lesson07 特权Xilinx FPGA SF-SP6入门指南 -- ISE与Modelsim联合仿真库编译Lesson08 特权Xilinx FPGA
    发表于 07-22 11:49

    刚开始自学FPGAVerilog求教一个入门问题。。。

    刚开始自学FPGAVerilog求教一个入门问题。。。counter
    发表于 09-05 09:35

    勇敢的芯伴你玩转Altera FPGA连载4:Verilog与VHDL

    `勇敢的芯伴你玩转Altera FPGA连载4:Verilog与VHDL特权同学,版权所有配套例程和更多资料下载链接:http://pan.baidu.com/s/1i5LMUUD Verilog
    发表于 09-26 21:07

    FPGA入门ModelSim的安装步骤

    FPGA入门:ModelSim的安装本文节选自特权同学的图书《FPGA/CPLD边练边学——快速入门Verilog/VHDL》书中代码请访问
    发表于 01-22 06:35

    Verilog HDL的历史及设计流程是什么

    Verilog HDL的历史及设计流程是什么
    发表于 05-06 08:52

    FPGA双沿发送Verilog HDL实现 精选资料推荐

    1.1 FPGA双沿发送Verilog HDL实现1.1.1 本节目录1)本节目录;2)本节引言;3)FPGA简介;4)FPGA双沿发送
    发表于 07-26 06:20

    FPGA双沿采样Verilog HDL实现 精选资料分享

    1.1 FPGA双沿采样Verilog HDL实现1.1.1 本节目录1)本节目录;2)本节引言;3)FPGA简介;4)FPGA双沿采样
    发表于 07-26 07:44

    Verilog HDL作为现在最流行的FPGA开发语言 是入门的基础

    Verilog HDL作为现在最流行的FPGA开发语言,当然是入门基础。
    发表于 02-18 14:47 1w次阅读

    FPGAVerilog学习教程课件免费下载

    本文档的主要内容详细介绍的是FPGAVerilog学习教程课件免费下载包括了:语法入门,语法进阶,示例等等。
    发表于 01-21 16:30 14次下载
    <b class='flag-5'>FPGA</b>的<b class='flag-5'>Verilog</b>学习教程课件免费下载

    Verilog HDL语言的发展历史和能力综述

    Verilog入门教程,介绍Verilog的语法知识,基本程序编写。
    发表于 08-13 10:56 2次下载

    FPGA CPLD中的Verilog设计小技巧

    FPGA CPLD中的Verilog设计小技巧(肇庆理士电源技术有限)-FPGA CPLD中的Verilog设计小技巧                 
    发表于 09-18 16:49 35次下载
    <b class='flag-5'>FPGA</b> CPLD中的<b class='flag-5'>Verilog</b>设计小技巧

    Verilog HDL入门教程-Verilog HDL的基本语法

    Verilog HDL入门教程-Verilog HDL的基本语法
    发表于 01-07 09:23 161次下载