0
  • 聊天消息
  • 系统消息
  • 评论与回复
登录后你可以
  • 下载海量资料
  • 学习在线课程
  • 观看技术视频
  • 写文章/发帖/加入社区
创作中心

完善资料让更多小伙伴认识你,还能领取20积分哦,立即完善>

3天内不再提示

连接和复制运算符

汽车电子技术 来源:OpenFPGA 作者: 碎碎思 2023-02-09 15:40 次阅读

数字硬件建模SystemVerilog-连接和复制运算符

poYBAGPkowyALvtUAATZyu9VRrM226.png

经过几周的更新,SV核心部分用户自定义类型和包内容已更新完毕,接下来就是RTL表达式和运算符。

马上HDLBits-SystemVerilog版本也开始准备了,基本这一部分完成后就开始更新~

pYYBAGPkoxiAUJ-5AAIk-uoqDPg077.png

介绍

连接和复制运算符将多个表达式连接在一起,形成一个向量表达式。结果向量中的位总数是每个子表达式中所有位的总和。连接有两种形式,简单连接和复制连接。一个简单的连接将任意数量的表达式连接在一起。复制连接将表达式连接在一起,然后复制指定次数的结果。表5-3显示了连接和复制运算符的一般语法和用法:

表5-1:RTL建模的连接和复制运算符

以下变量和值用于显示这些运算符的结果。

poYBAGPkoy-AXTi_AAAvdjJlvVU994.png

连接和复制运算符是可综合的。运算符不直接表示硬件中的任何逻辑功能。它们只是表示同时使用多个信号,将文字值附加到信号或文字值。

示例5-1和5-2说明了连接运算符在RTL建模中的两种常见应用:

在赋值语句的右侧或左侧将多个信号连接在一起。在每个示例之后,图5-1和5-2显示了连接运算符如何在综合生成的门级功能。然而,在RTL模型中,连接运算符是一种有用的构造,用于以简洁的方式表示硬件功能。

示例5-1:使用连接运算符:多输入状态寄存器

//`begin_keywords

"1800-2012"

//useSystemVerilog-2012keywords

modulestatus_reg

(inputlogicclk,//registerclk

inputlogicrstN,//active-lowreset

inputlogicint_en,//1-bitinterrupt

enable

inputlogiczero,//1-bitresult=0flag

inputlogiccarry,//1-bitresultoverflowflag

inputlogicneg,//1-bitnegativeresultflag

inputlogic[1:0]parity,//2-bitparitybits

outputlogic[7:0]status//8-bitstatusregisteroutput

);

timeunit1ns;timeprecision1ns;

always_ff@(posedgeclkornegedgerstN)//asyncreset

if

(!rstN)//active-lowreset

status<= {1

'b0,2'

b11,5

'b0};//reset

else

status<= {int_en,2'

b11,zero,carry,neg,parity};//load

endmodule:status_reg

//`end_keywords

图5-1:示例5-l的综合结果:连接运算符(状态寄存器)

pYYBAGPkoz-ANHa2AAGNkcfVBvg169.png
笔记
综合编译器实现运算符的方式会受到许多因素的影响,包括目标设备、与运算符一起使用的其他运算符或编程语句、使用的综合编译器,以及”指定的综合选项和约束。

示例5-1中的状态寄存器有两个未使用的位,它们的常量值为1,用于生成图5-1所示状态寄存器实现的综合编译器将这两个未使用的位映射到8位上拉输出上。其他综合编译器,或者指定不同的综合约束,可能会以不同的方式映射相同的RTL功能,例如通过使用预设为1值的触发器

示例5-2:使用连接运算符:带进位的加法器

//`begin_keywords

"1800-2012"

modulertl_adder

(inputlogica,b,ci,

outputlogicsum,co

);

timeunit1ns;timeprecision1ns;

assign{co,sum}=a+b+ci;

endmodule:rtl_adder

//`end_keywords

图5-2:示例5-2的综合结果:加法运算符(带进位/输出的加法器)

pYYBAGPko0yAAycnAAG_jja-u34700.png

用于生成图5-2所示实现的综合编译器将RTL加法器功能映射到通用加法器块——综合的下一步将针对特定的ASICFPGA设备,通用加法器将在该步骤中映射到特定的加法器实现。

连接和复制运算符经常用于创建用作其他运算符操作数的表达式。这方面的例子将在本章后面的章节和后面的章节中看到。

在使用连接时,有一些重要的规则需要注意:

  • 可以将任何多个表达式连接在一起,只包括一个表达式,
  • 连接中的表达式必须具有固定大小。无大小的文字值不允许使用,例如数字5和’1,是不被允许的。
  • 连接的结果始终是无符号的,无论连接中表达式的符号是什么。

不要将连接与赋值列表混淆。SystemVerilog有一个包含在’{and}(赋值列表)标记之间的赋值列表运算符。虽然赋值列表运算符看起来类似于连接运算符,但其功能却大不相同。赋值列表运算符将多个值连接在一起,以创建一个新的单个值。赋值列表运算符以撇号开头(’),并且用于将单个值的集合分配给数组的单个元素或结构的单个成员。

{{4{a[3]}},a} 结果是11111011(二进制)一个8位的值, a的有效位重复4次,然后连接到a。

{8{2’bl0}}结果是1010101010101010(二进制),一个16位的值,2’b01重复8次。

{4’hF,a}结果是1111_1011(二进制),一个8位的值。

{a,b}结果是101100010001(二进制),一个12位的值。

声明:本文内容及配图由入驻作者撰写或者入驻合作网站授权转载。文章观点仅代表作者本人,不代表电子发烧友网立场。文章及其配图仅供工程师学习之用,如有内容侵权或者其他违规问题,请联系本站处理。 举报投诉
  • 向量
    +关注

    关注

    0

    文章

    55

    浏览量

    11589
  • 连接
    +关注

    关注

    2

    文章

    91

    浏览量

    20814
  • 运算符
    +关注

    关注

    0

    文章

    163

    浏览量

    10947
收藏 人收藏

    评论

    相关推荐

    JAVA语言的运算符及表达式

    JAVA语言的运算符及表达式3.5 运算符及表达式数据的类型除了限定数据的存储方式、取值范围之外,还定义了对该数据类型可进行的操作即运算。表示各种不同运算的符号称为
    发表于 12-06 00:28

    【跟我学单片机C语言】详解运算符和表达式的位运算符

    【跟我学单片机C语言】详解运算符和表达式的位运算符学过汇编的朋友都知道汇编对位的处理能力是很强的,但是单片机C语言也能对运算对象进行按位操作,从而使单片机C语言也能具有一定的对硬件直接进行操作的能力
    发表于 12-07 13:51

    Verilog 缩减运算符

    小弟刚学FPGA ,在看Veriolg ,遇到缩减运算符,对于缩减与 缩减或能理解,那个非本身就是单目运算,缩减非是怎么回事呢?
    发表于 10-07 10:13

    C语言单片机第七课 运算符和表达式(关系运算符)

    优先级别的,计算机的语言也不过是人类语言的一种扩展,这里的运算符同样有着优先级别。前四个具有相同的优先级,后两个也具有相同的优先级,但是前四个的优先级要高于后2个的。当两个表达式用关系运算符连接
    发表于 10-21 09:38

    炼狱传奇-移位和位拼运算符之战

    1. 移位运算符移位运算符是双目运算符,将运算符左边的操作数左移或右移运算符右边的操作数指定的位数,用0来补充空闲位。如果右边操作数的值为X
    发表于 04-30 09:43

    【FPGA】VHDL 语言的运算符有哪些?计算的优先级是怎样的?

    在 VHDL 语言中,常用的运算符有逻辑运算(Logic)、关系运算(Relational)、算术运算(Arithmetic)和移位运算(S
    发表于 09-12 09:51

    【梦翼师兄今日分享】 常见的关系运算符(移位运算符)

    立即学习>>梦翼师兄FPGA培训(视频加板卡),手把手带你入门FPGA写在前面的话移位运算符是双目运算符,将运算符左边的操作数左移或右移指定的位数,用0来补充空闲位。如果右边操作数的值
    发表于 12-17 10:45

    【梦翼师兄今日分享】 常见的关系运算符(位拼运算符

    立即学习>>梦翼师兄FPGA培训(纯视频),手把手带你入门FPGA写在前面的话位拼运算符是将多个小的表达式合并形成一个大的表达式,用符号{}来实现多个表达式的连接运算,各个表达式之间用
    发表于 12-19 09:38

    C语言运算符优先级(超详细)

    C语言运算符优先级(超详细) 当想找哪个运算符优先级高时,很多时候总是想找的就没有,真让人气愤!现在,终于有个我个人觉得非常全的,分享给大家,欢迎拍砖!C语言运算符优先级[table]优先级
    发表于 04-27 16:47

    Java基础之Java运算符

    、逻辑运算符、条件运算符、位运算符。如下图所示: 算术运算符 //注意区分是否为字符串连接System.out.println(
    发表于 05-18 15:39

    逻辑运算符是什么

    逻辑运算符:与或非条件结构if -else及其嵌套循环结构whilefordo-while
    发表于 07-14 07:50

    如何去使用三目运算符

    三目运算的定义是什么?三目运算符是由什么组成的?如何去使用三目运算符
    发表于 07-15 13:13

    算术运算符的相关资料分享

    一:算术运算符算术运算符非常地简单,就是小学数学里面的一些加减乘除操作。不过呢,还是有一些语法细节需要注意的。1.加法运算符 + 1 在第3行利用加法运算符 + 进行了加法
    发表于 11-30 06:09

    运算符的相关资料推荐

    运算符1、算数操作运算符+、-、*、/、%加法:A+B, AB最好是同类型乘除:乘法在很多CPU中并不支持,乘除法能不用就不用,可能会使执行变差求模/求余数:n%m=res[0~(m-1)]求模
    发表于 12-24 06:13

    2.7 python运算符

    2.7 python运算符0. 什么是运算符?本章节主要说明Python的运算符。举个简单的例子 4 +5 = 9 。 例子中,4和5被称为操作数,“+”号为运算符。Python语言支
    发表于 02-21 16:43