0
  • 聊天消息
  • 系统消息
  • 评论与回复
登录后你可以
  • 下载海量资料
  • 学习在线课程
  • 观看技术视频
  • 写文章/发帖/加入社区
创作中心

完善资料让更多小伙伴认识你,还能领取20积分哦,立即完善>

3天内不再提示

UCIe生态正在完善,Chiplet腾飞指日可待

新思科技 来源:未知 2022-11-10 11:15 次阅读

202249f4-5a8b-11ed-a3b6-dac502259ad0.gif

感谢《半导体行业观察》对新思科技的关注 Chiplet是摩尔定律放缓情况下,持续提高SoC高集成度和算力的重要途径。目前业内已有多家企业发布了基于Chiplet技术的芯片,Chiplet俨然已成为各芯片厂商进入下一个关键创新阶段并打破功率-性能-面积(PPA)天花板的一个绝佳技术选择。 采用Chiplet的方式,可将不同功能的芯片通过2D或2.5D/3D的封装方式组装在一起,并可以以异构的方式在不同工艺节点上制造,但是到目前为止,实现Chiplet架构一直非常困难。为了做到这一点,采用这一技术的早期厂商已将单片式芯片设计方法应用于内部定义的设计与验证流程,并开发了自己的接口技术。但是,非聚合裸片市场(即具备类似即插即用的灵活性及互操作性)的发展离不开行业标准和生态系统。通用Chiplet互连技术(UCIe)规范可以实现Chiplet的可定制与封装级集成,可以说是Chiplet发展前路的一大助推剂,UCIe正在帮助我们迅速紧跟这种面向先进应用的全新设计方式。

Chiplet技术为何腾飞?

随着对芯片性能的要求日益提高,需要在更小的空间里集成更多的晶体管,SoC的尺寸正在接近芯片制造的上限。传统的单片SoC变得太大且成本过高,无法通过先进设计进行生产,并且良率风险也随之攀升。而Chiplet技术将SoC组件分开制造,再封装到一起,则可以降低成本,减少浪费,并大大改善可靠性。 除了在不同工艺节点支持最适合特定功能的不同组件外,Chiplet架构还允许将数字、模拟或高频工艺的不同裸片集成到一起,还可以在设计中加入高度密集的3D内存阵列,即高带宽内存(HBM)。 假设您需要开发一部设备,该设备的I/O接口(如以太网接口等)可能并不需要最前沿的工艺。按照Chiplet技术的思路,您可以在一个细化的层面以“形式遵循功能”的思路优化PPA,如果在不同的设备上使用的I/O子系统是一样的,还可以一次性制造所有I/O接口,从而借助生产规模获得更低成本。相比之下,如果整个SoC都位于同一裸片上,无论功能如何,I/O接口都要与您最先进的功能采用相同的工艺,除了制作成本增加外,一旦设计中的某个组件出现故障,就会导致整体失效。 规模和模块化所带来的灵活性,也将帮助您应对不断缩小的上市时间窗口。具有标准功能的裸片可以混合并匹配,即获得一种硬IP,从而让您的工程人才专注于设计的差异化因素,以加快产品上市速度。 虽然上面的方式听起来十分理想,但各个独立的裸片在带宽、互操作性和数据完整性方面具有很大差异,目前只有那些拥有足够资源来支持裸片间定制互连开发的大公司才会采用这种技术。但是随着这种更前沿设计方法的普及,裸片间的互连在本质上已经与互操作性相抵触。 尽管存在这些挑战,预计到2024年,Chiplet市场的规模将增长至500亿美元;而UCIe则是这一增长的关键推动力。

UCle为何成为

Chiplet设计的首选标准?

其实为了应对Chiplet设计中所面临的挑战,行业出现了几种不同的标准。但是UCIe是唯一具有完整裸片间接口堆栈的标准,其他标准都没有为协议栈提供完整裸片间接口的全面规范,大多仅关注在特定层。而且UCIe支持2D、2.5D和桥接封装,预计未来还会支持3D封装。 UCIe不仅能满足大部分每引脚8Gbps至16Gbps的设计,还能满足从网络到超大规模数据中心等高带宽应用中每引脚32Gbps的设计;换言之,该标准将满足当前和未来的带宽发展。UCIe有两种不同的封装类型:
  • 用于先进封装的UCIe,如硅衬垫、硅桥或再分配层(RDL)扇出

  • 用于标准封装的UCIe,如有机衬底或层压板

UCIe堆栈本身拥有三层:
  • 最上端的协议层通过基于流量控制单元(FLIT)的协议实现,确保最大效率和降低延迟,支持最流行的协议,包括PCI Express(PCIe)、Compute Express Link(CXL)和/或用户定义的流协议。

  • 第二层用于对协议进行仲裁与协商,以及通过裸片间适配器进行连接管理。基于循环冗余检查(CRC)和重试机制,该层还包括可选的错误纠正功能。

  • 第三层为物理层(PHY),规定了与封装介质的电气接口,是电气模拟前端(AFE)、发射器和接收器以及边带通道允许两个裸片之间进行参数交换与协商的层级。逻辑PHY实现了连接初始化、训练和校准算法,以及测试和修复功能。

203bf3b8-5a8b-11ed-a3b6-dac502259ad0.jpg图:UCIe协议栈示意图

EDA厂商推动UCle的发展

作为EDA和IP解决方案的领导者,新思科技已成为UCIe的成员之一,我们期待着未来对UCIe规范做出贡献,与广大UCIe的支持者们积极推动构建健康的UCIe生态系统。为了简化UCIe设计路径,新思科技推出了完整的UCIe设计解决方案,包括PHY、控制器和验证IP(VIP):
  • PHY──支持标准和高级封装选项,可采用先进的FinFET工艺,获得高带宽、低功耗和低延迟的裸片间连接。

  • 控制器IP──支持PCIe、CXL和其它广泛应用的协议,用于延迟优化的片上网络(NoC)间连接及流协议;例如与CXS接口和AXI接口的桥接。
  • VIP──支持全栈各层的待测设计(DUT);包括带有/不带有PCIe/CXL协议栈的测试平台接口、用于边带服务请求的应用编程接口(API),以及用于流量生成的API。协议检查和功能覆盖位于每个堆栈层和信令接口,实现了可扩展的架构和新思科技定义的互操作性测试套件。
新思科技的解决方案不仅带来了稳健、可靠的裸片间连接,并具有可测试性功能,可用于已知良好的裸片,和用于纠错的CRC或奇偶校验。它将使芯片设计企业能够在Die间建立无缝互连,实现最低的延迟和最高的能效。 对于Chiplet设计,由于多个流协议而增加的有效载荷可能需要数天甚至数月的时间来实现仿真,从而限制了其实用性。对此,新思科技还推出了UCIe的验证IP,用户需要首先创建各种单节点和多节点模型,模拟这些简化的系统以检查数据的完整性。利用新思科技 ZeBu仿真系统在具有多协议层的更高级别系统场景中进行测试,然后再使用新思科技 HAPS原型验证系统进行原型设计。新思科技的验证IP从模型到仿真、模拟,再到原型验证确保芯片投产前的无缝互操作性。

在摩尔定律逼近极限的今天,Chiplet的发展已是大势所趋,不过其前路仍然面临着不少挑战,需要产业界各个产业链的厂商支持,才能最终迎来其发展腾飞。

204f9ecc-5a8b-11ed-a3b6-dac502259ad0.png

2061243a-5a8b-11ed-a3b6-dac502259ad0.png2096a542-5a8b-11ed-a3b6-dac502259ad0.png20b2f058-5a8b-11ed-a3b6-dac502259ad0.png20ebbc3a-5a8b-11ed-a3b6-dac502259ad0.png      


原文标题:UCIe生态正在完善,Chiplet腾飞指日可待

文章出处:【微信公众号:新思科技】欢迎添加关注!文章转载请注明出处。


声明:本文内容及配图由入驻作者撰写或者入驻合作网站授权转载。文章观点仅代表作者本人,不代表电子发烧友网立场。文章及其配图仅供工程师学习之用,如有内容侵权或者其他违规问题,请联系本站处理。 举报投诉
  • 新思科技
    +关注

    关注

    5

    文章

    716

    浏览量

    50067

原文标题:UCIe生态正在完善,Chiplet腾飞指日可待

文章出处:【微信号:Synopsys_CN,微信公众号:新思科技】欢迎添加关注!文章转载请注明出处。

收藏 人收藏

    评论

    相关推荐

    新思科技与英特尔在UCIe互操作性测试进展

    英特尔的测试芯片Pike Creek由基于Intel 3技术制造的英特尔UCIe IP小芯片组成。它与采用台积电公司N3工艺制造的新思科技UCIe IP测试芯片形成组合。
    的头像 发表于 04-18 14:22 169次阅读

    探讨UCIe协议与技术应用

    UCIe 具有封装集成不同Die的能力,这些Die可以来自不同的晶圆厂、采用不同的设计和封装方式。
    发表于 03-11 14:22 99次阅读
    探讨<b class='flag-5'>UCIe</b>协议与技术应用

    Chiplet 互联:生于挑战,赢于生态

    12月13日,第七届中国系统级封装大会(SiP China 2023)在上海举办,奇异摩尔联合创始人兼产品及解决方案副总裁祝俊东发表了《Chiplet和网络加速,互连定义计算时代的两大
    的头像 发表于 12-19 11:12 1027次阅读
    <b class='flag-5'>Chiplet</b> 互联:生于挑战,赢于<b class='flag-5'>生态</b>

    深度详解UCIe协议和技术

    Universal Chiplet Interconnect Express (UCIe) 是一个开放的行业互连标准,可以实现小芯片之间的封装级互连,具有高带宽、低延迟、经济节能的优点。
    发表于 12-11 10:37 612次阅读
    深度详解<b class='flag-5'>UCIe</b>协议和技术

    互联与chiplet,技术与生态同行

    作为近十年来半导体行业最火爆、影响最深远的技术,Chiplet 在本质上是一种互联方式。在微观层面,当开发人员将大芯片分割为多个芯粒单元后,假如不能有效的连接起来,Chiplet 也就无从谈起。在片间和集群间层面,互联之于 Chiple
    的头像 发表于 11-25 10:10 499次阅读

    Unity中国、Cocos为OpenHarmony游戏生态插上腾飞的翅膀

    2023年是OpenHarmony游戏生态百花齐放的一年!为了扩展OpenHarmony游戏生态,OpenHarmony在基金会成立了游戏SIG小组,游戏SIG小组联合cocos,从cocos2dx
    发表于 10-23 16:15

    英特尔发布全球首款基于UCIe连接的Chiplet(小芯片)处理器

    英特尔基于Chiplet的处理器,如Sapphire Rapids和新发布的Meteor Lake,目前使用专有接口和协议进行Chiplet之间的通信,但英特尔已宣布将在其下一代Arrow Lake消费级处理器之后使用UCIe
    发表于 09-22 16:05 458次阅读
    英特尔发布全球首款基于<b class='flag-5'>UCIe</b>连接的<b class='flag-5'>Chiplet</b>(小芯片)处理器

    英特尔展示全球首款基于UCIe连接的Chiplet CPU

    今天的多chiplet包使用专有接口和协议相互通信,因此广泛使用第三方chiplet是一件困难的事情。ucie的目标是创造一个具有标准化接口的生态系统,以便芯片制造企业能够轻易地从其他
    的头像 发表于 09-20 14:50 697次阅读

    国芯科技:正在流片验证chiplet芯片高性能互联IP技术

    国芯科技(688262)。sh) 8月2日的投资者在互动平台(interface),公司目前正在与合作伙伴一起流片验证相关chiplet芯片高性能互联IP技术,和上下游合作厂家积极开展包括HBM技术在内的芯片的设计与封装技术的研究正在
    的头像 发表于 08-02 12:01 699次阅读

    Chiplet关键技术与挑战

    半导体产业正在进入后摩尔时代,Chiplet应运而生。介绍了Chiplet技术现状与接口标准,阐述了应用于Chiplet的先进封装种类:多芯片模块(MCM)封装、2.5D封装和3D封装
    的头像 发表于 07-17 16:36 852次阅读
    <b class='flag-5'>Chiplet</b>关键技术与挑战

    学修手机,记住这三点,指日可待

    修理故障维
    学习电子知识
    发布于 :2023年07月17日 00:19:27

    如何助力 Chiplet 生态克服发展的挑战

    相比传统的系统级芯片(SoC),Chiplet 能够提供许多卓越的优势,如更高的性能、更低的功耗和更大的设计灵活性。因此,半导体行业正在构建一个全面的 Chiplet 生态系统,以充分
    的头像 发表于 07-14 15:20 226次阅读

    RISC-V,正在摆脱低端

    、灵活性和高度定制性,RISC-V正在成为搭建计算生态的一种新选择。 去年7月,RISC-V基金会就指出RISC-V架构芯片出货量已突破100亿颗,仅用12年就走完了传统架构30年的发展历程,预计
    发表于 05-30 14:11

    Chiplet架构的前世今生

    和异构计算也逐渐从头部大厂偶尔为之的惊鸿一现,演变为高性能芯片的新常态。 与此同时,一场席卷全球的AIGC竞赛,加剧了高性能芯片的需求。面对昂贵且一票难求的高性能赛道,新入局者不得不寻求更经济和更快速的方式,从而反哺了chiplet生态。 接口:C
    的头像 发表于 05-26 11:52 1460次阅读
    <b class='flag-5'>Chiplet</b>架构的前世今生

    用IP和Chiplet 解决算力扩展与高速互联问题

    我们Chiplet产品的切入点是Die-to-Die*接口IP,目前在国际巨头Intel的牵头下成立了UCIe联盟,我们公司也是成员之一。我们第一代兼容UCIe标准的D2D接口产品今年即将流片。
    的头像 发表于 05-16 14:39 809次阅读
    用IP和<b class='flag-5'>Chiplet</b> 解决算力扩展与高速互联问题