0
  • 聊天消息
  • 系统消息
  • 评论与回复
登录后你可以
  • 下载海量资料
  • 学习在线课程
  • 观看技术视频
  • 写文章/发帖/加入社区
创作中心

完善资料让更多小伙伴认识你,还能领取20积分哦,立即完善>

3天内不再提示

PTPX功耗分析之Average Power Analysis

sally100 来源:数字ICer 作者:数字ICer 2022-10-24 16:55 次阅读

PTPX,是基于PT,对全芯片进行power静态和动态功耗分析的工具。包括门级的平均功耗和峰值功耗。可以说PTPX就是PT工具的一个附加工具。

PT如果report_power需要额外的PTPX的licence,可以通过以下变量打开:

set_app_var power_enable_analysis true (默认是false)

PrimeTime PX支持两种功耗分析模式:averaged mode和time-based mode。

Average Power Analysis

用PTPX做平均功耗分析,利用后仿产生的VCD/SAIF文件,通过read_vcd/read_saif将真实的翻转率反标到上,然后通过report_switching_activity检查反标率,最后report_power报告功耗值。

平均功耗,是基于翻转率toggle rate来分析的。翻转率的标注,可以是默认翻转率、用户定义switching activity、SAIF文件或者VCD文件。功耗结果期望准确的话,首先要保证翻转率的标注要准确。这意味着需要后端布局布线、时钟树等已经完全稳定了。

前期做功耗分析,可能只是一个评估作用。

工具支持基于仿真的switching activity文件类型,包括:

VCD

FSDB

VPD

SAIF

波形转saif命令

vcd2saif:
vcd2saif-idump.vcd-ovcd2saif.saif
fsdb2saif:
fsdb2saifdump.fsdb-ofsdb2saif.saif

如果没有上述文件,那可以使用user-define switching activity commands,来提供一个现实的activity去精确power结果。

所需基本文件:

logic库文件,必须是.db格式;

网表文件,支持verilog、vhdl网表,db、ddc、Milkyway格式的网表;

sdc文件,计算平均功耗;

spef文件,寄生参数信息

VCD/saif文件,记录翻转率(若没有,则需要人为设置翻转率)。

基本流程

Step1: 设置功耗分析模式

setpower_enable_analysisTRUE
setpower_analysis_modeaveraged

Step2: read设计,对网表工艺库link

setsearch_path"../src/hdl/gate../src/lib/snps."
setlink_library"*core_typ.db"
read_verilogmac.vg
current_designmac
link

Step3: 读sdc,反标寄生参数

sdc指定了设计的驱动单元,用以计算输入的transitiontime。

寄生参数是影响动态功耗的因素之一,反标寄生参数文件能够提高功耗分析的准确性。

read_sdc../src/hdl/gate/mac.sdc
set_disable_timing[get_lib_pinsssc_core_typ/*/G]
read_parasitics../src/annotate/mac.spef.gz

Step4: timing报告:

满足时序要求,功耗分析才有意义

check_timing
update_timing
report_timing

tep5: 读入switching_activity文件

读后仿产生的VCD/SAIF文件将真实的翻转率反标到Net上,在read_vcd或者read_saif时要注意通过-stripe_path选项指定合适的hierarchical层次,否则activity反标不上;

-list_not_annotated列出没有被反标的对象;

读入saif文件:

read_saif"../sim/mac.saif"-strip_path"tb/Dut"
report_switching_activity-list_not_annotated

读入vcd文件:

read_vcd"../sim/vcd.dump.gz"-strip_path"tb/Dut"
report_switching_activity-list_not_annotated

tep6: 执行功耗分析

在得到switching activity之后,使用update_power来将其反标,使用report_power来报告power值。

check_power
update_power
report_power

读入saif文件的功耗报告

5bb471f4-52bb-11ed-a3b6-dac502259ad0.png

读入vcd文件的功耗报告

5bdd2270-52bb-11ed-a3b6-dac502259ad0.png

可以看出saif/vcd文件的功耗略有差异。

Averaged Power Analysis Mode Script脚本:

setpower_enable_analysisTRUE
setpower_analysis_modeaveraged

#####################################################################
#linkdesign
#####################################################################
setsearch_path"../src/hdl/gate../src/lib/snps."
setlink_library"*core_typ.db"

read_verilogmac.vg
current_designmac
link

#####################################################################
#readSDC
#####################################################################
read_sdc../src/hdl/gate/mac.sdc
set_disable_timing[get_lib_pinsssc_core_typ/*/G]

#####################################################################
#settransitiontime/annotateparasitics
#####################################################################
read_parasitics../src/annotate/mac.spef.gz

#####################################################################
#check/update/reporttiming
#####################################################################
check_timing
update_timing
report_timing

#####################################################################
#readswitchingactivityfile
#####################################################################
##read_vcd"../sim/vcd.dump.gz"-strip_path"tb/Dut"
read_saif"../sim/mac.saif"-strip_path"tb/Dut"
report_switching_activity-list_not_annotated

#####################################################################
#check/update/reportpower
#####################################################################
check_power
update_power
report_power
quit

审核编辑:汤梓红

声明:本文内容及配图由入驻作者撰写或者入驻合作网站授权转载。文章观点仅代表作者本人,不代表电子发烧友网立场。文章及其配图仅供工程师学习之用,如有内容侵权或者其他违规问题,请联系本站处理。 举报投诉
  • VCD
    VCD
    +关注

    关注

    0

    文章

    37

    浏览量

    34424
  • 功耗
    +关注

    关注

    1

    文章

    750

    浏览量

    31527
  • Analysis
    +关注

    关注

    0

    文章

    17

    浏览量

    10175

原文标题:PTPX功耗分析 | Average Power Analysis

文章出处:【微信号:数字ICer,微信公众号:数字ICer】欢迎添加关注!文章转载请注明出处。

收藏 人收藏

    评论

    相关推荐

    Cadence功耗分析步骤

    Cadence功耗分析首先需生成power grid library
    的头像 发表于 09-06 09:47 1001次阅读
    Cadence<b class='flag-5'>功耗</b><b class='flag-5'>分析</b>步骤

    功耗资料SNUG_Power

    功耗资料SNUG_Power
    发表于 08-17 10:30

    【智能控制】时间序列分析(Time Series Analysis

    【智能控制】时间序列分析(Time Series Analysis).doc
    发表于 09-24 11:07

    Qualcomm Trepn Power Profiler常见问题分析总结

    以前对Trepn Power Profiler进行过简单的介绍,这是Qualcomm开发的一个工具,主要对android设备的功耗和性能进行分析。今天简单总结一下,在使用过程中比较常见的问题。 1.
    发表于 09-25 16:14

    带有Spectrum Analysis选项的89600 SW如何配置

    我刚刚在89600软件中启用了Spectrum Analysis选项,并且能够使用SFP配置所需的测量。我配置了10Mhz到1GHz的“功率谱”测量。现在的问题是如何使用SCPI配置相同的测量。在帮
    发表于 10-15 11:16

    Xpower分析仪querry显示时钟,逻辑等的零功耗仅显示其静态功耗

    HI, 我用Xpower分析仪计算了设计的强大功能。但它显示时钟,逻辑等的零功耗仅显示其静态功耗。那是因为我的编码部分很小???我已附上下面的电力报告。以上来自于谷歌翻译以下为原文HI, I
    发表于 03-21 06:14

    RF微波USB功率计或传感器和Power Analysis Manager软件的创新应用

    RF /微波USB功率计或传感器和Power Analysis Manager软件的创新应用
    发表于 10-24 11:08

    PTPX的常用流程有哪些? 为何从这个入手

    probabilities for the nets in the design>It is supported only the average power analysis mode>
    发表于 06-10 17:40

    Multisim基本分析方法直流工作点分析

      基本分析方法直流工作点分析   直流工作点分析(DC Operating Point Analysis),是指在电路中的电感短路、电容
    发表于 04-27 16:23

    THERMAL DESIGN OF POWER MOSFET

    design analysis of power MOSFETs operatingin parallel and which uses phase change immersion cooling. The heat generation (elect
    发表于 11-29 17:17 25次下载

    Circuit Analysis II with MATLA

    Circuit Analysis II with MATLAB :This text is written for use in a second course in circuit
    发表于 02-10 11:25 0次下载
    Circuit <b class='flag-5'>Analysis</b> II with MATLA

    中国电源产业的发展与分析

    中国电源产业的发展与分析 Development and Analysis of China Power Supply Industry   “电源”包括电子电
    发表于 07-10 10:53 477次阅读

    Moving_Average

    Moving Average,好东西,喜欢的朋友可以下载来学习。
    发表于 02-22 15:53 0次下载

    PTPX功耗分析之Peak Power Analysis

    在time-based power analysis模式下,需要提供VCD或FSDB文件,工具会分析峰值功耗,并生成功耗波形等,SAIF格式
    的头像 发表于 10-24 16:49 4307次阅读

    基于UPF的低功耗数字后端设计实训课

    ,retention cell和power switch等。Signoff阶段的Formality逻辑等价性检查以及PTPX功耗分析等。
    的头像 发表于 02-26 09:48 1383次阅读