0
  • 聊天消息
  • 系统消息
  • 评论与回复
登录后你可以
  • 下载海量资料
  • 学习在线课程
  • 观看技术视频
  • 写文章/发帖/加入社区
创作中心

完善资料让更多小伙伴认识你,还能领取20积分哦,立即完善>

3天内不再提示

如何读懂时序分析报告

雷达通信电子战 来源:雷达通信电子战 作者:雷达通信电子战 2022-10-09 11:59 次阅读

1. 前言 在上篇文章里《时序分析基本概念(一)——建立时间》,我们向大家介绍了建立时间的基本概念和计算方法。本篇文章我们将通过vivado工程实例来向大家介绍如何读懂时序分析报告。 2. vivado实例工程介绍 借用的vivado工程实例很简单,工程的电路原理框图如下图所示: 1401dba2-4583-11ed-96c9-dac502259ad0.png   电路功能为:在rx_data_valid信号拉高的情况下,对输入的数据总线rx_data_bus数据做了两次加法,最后通过tx_data_bus管脚输出。大家可以自行下载vivado工程,具体下载方法见文章末尾。 我们对该工程进行管脚约束和时钟约束,如下图所示: 144dffdc-4583-11ed-96c9-dac502259ad0.png   图中时钟约束的含义是告诉vivado工具,rx_clk时钟的时钟频率为100MHz。vivado软件只有在知道工作时钟频率后,才能正确的布局布线以满足该电路工作的时序要求。 3. 工程编译并打开时序报告 vivado工程编译好后,打开时序分析报告。 14ae1b60-4583-11ed-96c9-dac502259ad0.png   时序报告打开方法: ->先选择“Open Implemented Design”,打开布局布线后的工程; 150327b8-4583-11ed-96c9-dac502259ad0.png -> 选择“Timing”选项卡,并展开“Intra-Clock Paths”; 1515d61a-4583-11ed-96c9-dac502259ad0.png   由于我们的工程只有rx_clk时钟域,因此我们只用展开“rx_clk”时序报告,查看“Setup”报告(建立时间报告)。 152bc77c-4583-11ed-96c9-dac502259ad0.png   如果工程复杂,路径过多,该窗口默认显示的路径并不全,如果没有找到我们想查看的路径,我们可以选择菜单上的“Reports -> Timing -> Report Timing Summary” 1586f688-4583-11ed-96c9-dac502259ad0.png   修改Maximum number of paths per clock orpath_group至100(根据自己需要),点击“OK”按钮。 15c2ea08-4583-11ed-96c9-dac502259ad0.png   4. 分析时序报告 比如图中的路径“Path7”,第一列的“Slack”指的是建立时间的松紧程度。该值为正值代表该路径的布线满足时序要求。“Levels”为1,代表数据路径上的组合逻辑为1级,“High Fanout”代表该路径的扇出为4。 16045128-4583-11ed-96c9-dac502259ad0.png   双击路径“Path7”可以打开更详尽的时序报告,如下图所示。 1624651c-4583-11ed-96c9-dac502259ad0.png   ① Source Clock Path 我们先来查看源时钟路径,图中用各个颜色表示了每行延时的具体含义。 165ca8aa-4583-11ed-96c9-dac502259ad0.png   根据上篇文章的学习可知,以上的延时总和为源时钟的路径延时,对应建立时间计算公式的Tclk1,即Tclk1 = 1.868ns。 我们也可以通过综合出来的电路图来更直观的查看这些路径延时的由来,打开“SYNTHESIS-> Open Synthesized Design -> Schematic”。 167a6304-4583-11ed-96c9-dac502259ad0.png   图中用对应每行时序报告注释的颜色标出了对应延时路径的具体位置。 ② Data Path 我们再来看数据路径时间。数据路径时间相对简单,主要由寄存器“buf_data_reg[0]”的内部延时Tco、走线的路径延时以及组合逻辑引入的延时组成。图中用不同颜色的注释已经标注出来。 16de678c-4583-11ed-96c9-dac502259ad0.png   走线的路径延时 + 组合逻辑引入的延时即为数据延时Tdata。同样的,我们通过在综合出来的电路图上,用每行时序报告注释的颜色标出了对应延时路径的具体位置。 1709bd4c-4583-11ed-96c9-dac502259ad0.png   图中buf_data_reg[0]的Q端口输出分别连至4个绿色框标出的器件,因此该路径的扇出为4。由于该数据路径上,从第一个寄存器到达第二个寄存器之间只经过了1个LUT器件,因此路径上的组合逻辑为1级。 ③ Destination Clock Path 最后我们来看目的时钟路径。图中用各个颜色表示了每行延时的具体含义。 17290ba2-4583-11ed-96c9-dac502259ad0.png   表中除掉蓝色的标注,其它所有颜色标注的延时总和为Tclk2。 表中还有“clock pessimism”时钟悲观值和“clock uncertainty”时钟抖动值,这些值的具体由来不在本文中做详述。 表中最后一项FDCE (Setup_CFF_SLICEM_C_D)为寄存器“tx_data_bus_reg”寄存器需要的建立时间值(Tsu)。 同样的,我们通过在综合出来的电路图上,用每行时序报告注释的颜色标出了对应延时路径的具体位置。 17538404-4583-11ed-96c9-dac502259ad0.png   这样我们通过公式最终可计算出建立时间的slack值: slack = Tcycle + Tclk2 + Tsu - (Tclk1 + Tco +Tdata)    =10 + 1.806 + 0.025 – (1.868 + 0.078 + 0.332) = 9.553ns 和时序报告计算出来的值吻合。 

审核编辑:彭静
声明:本文内容及配图由入驻作者撰写或者入驻合作网站授权转载。文章观点仅代表作者本人,不代表电子发烧友网立场。文章及其配图仅供工程师学习之用,如有内容侵权或者其他违规问题,请联系本站处理。 举报投诉
  • 时钟
    +关注

    关注

    10

    文章

    1480

    浏览量

    130309
  • 时序分析
    +关注

    关注

    2

    文章

    126

    浏览量

    22473
  • Vivado
    +关注

    关注

    18

    文章

    790

    浏览量

    65129

原文标题:如何阅览vivado工程的时序分析报告——建立时间

文章出处:【微信号:雷达通信电子战,微信公众号:雷达通信电子战】欢迎添加关注!文章转载请注明出处。

收藏 人收藏

    评论

    相关推荐

    FPGA时序收敛学习报告

    的方法一般有四个步骤:时序分析时序约束→时序报告时序收敛。 为什么要进行
    发表于 09-23 10:26

    FPGA实战演练逻辑篇65:CMOS摄像头接口时序设计5时序报告

    /1pJ5bCtt 约束完成后,参照前面章节Update Timing Netlist并且Write SDC File…,接着就可以重新编译整个工程,再来看看这个时序分析报告。如图8.57和图8.58
    发表于 08-19 21:58

    一文读懂什么是FPGA时序分析

    什么是时序分析时序约束的作用是什么?FPGA组成的三要素分别是哪些?
    发表于 09-18 06:05

    时序约束与时序分析 ppt教程

    时序约束与时序分析 ppt教程 本章概要:时序约束与时序分析基础常用
    发表于 05-17 16:08 0次下载

    如何阅读时序报告

    生成时序报告后,如何阅读时序报告并从时序报告中发现导致时序
    的头像 发表于 08-31 13:49 5466次阅读
    如何阅读<b class='flag-5'>时序</b><b class='flag-5'>报告</b>?

    一文读懂时序分析与约束

    时序冲突的概率变大以及电路的稳定性降低,为此必须进行时序、面积和负载等多方面的约束。
    的头像 发表于 06-15 11:24 2943次阅读
    一文<b class='flag-5'>读懂</b><b class='flag-5'>时序</b><b class='flag-5'>分析</b>与约束

    时序分析工具对比报告

    电子发烧友网站提供《时序分析工具对比报告.pdf》资料免费下载
    发表于 09-27 11:08 0次下载
    <b class='flag-5'>时序</b><b class='flag-5'>分析</b>工具对比<b class='flag-5'>报告</b>

    如何读懂Vivado时序报告

    FPGA开发过程中,vivado和quartus等开发软件都会提供时序报告,以方便开发者判断自己的工程时序是否满足时序要求。
    的头像 发表于 06-23 17:44 622次阅读
    如何<b class='flag-5'>读懂</b>Vivado<b class='flag-5'>时序</b><b class='flag-5'>报告</b>

    一图读懂经纬恒润2022年年度报告

    -END-原文标题:一图读懂经纬恒润2022年年度报告文章出处:【微信公众号:经纬恒润】欢迎添加关注!文章转载请注明出处。
    的头像 发表于 05-05 09:24 422次阅读
    一图<b class='flag-5'>读懂</b>经纬恒润2022年年度<b class='flag-5'>报告</b>

    如何读懂FPGA开发过程中的Vivado时序报告

    FPGA开发过程中,vivado和quartus等开发软件都会提供时序报告,以方便开发者判断自己的工程时序是否满足时序要求。
    发表于 06-26 15:29 576次阅读
    如何<b class='flag-5'>读懂</b>FPGA开发过程中的Vivado<b class='flag-5'>时序</b><b class='flag-5'>报告</b>?

    一图读懂芯导科技2023年年度报告

    一图读懂芯导科技2023年年度报告
    的头像 发表于 04-16 14:18 139次阅读
    一图<b class='flag-5'>读懂</b>芯导科技2023年年度<b class='flag-5'>报告</b>

    一图读懂虹软科技2023年度报告

    一图读懂虹软科技2023年度报告
    的头像 发表于 04-19 10:25 106次阅读
    一图<b class='flag-5'>读懂</b>虹软科技2023年度<b class='flag-5'>报告</b>

    一图读懂亿纬锂能2023年度报告

    一图读懂亿纬锂能2023年度报告
    的头像 发表于 04-19 10:33 109次阅读
    一图<b class='flag-5'>读懂</b>亿纬锂能2023年度<b class='flag-5'>报告</b>

    一图读懂广立微2023年度报告

    一图读懂广立微2023年度报告
    的头像 发表于 04-22 10:00 128次阅读
    一图<b class='flag-5'>读懂</b>广立微2023年度<b class='flag-5'>报告</b>

    一图读懂芯导科技2024年第一季度报告

    一图读懂芯导科技2024年第一季度报告
    的头像 发表于 04-26 11:31 250次阅读
    一图<b class='flag-5'>读懂</b>芯导科技2024年第一季度<b class='flag-5'>报告</b>