0
  • 聊天消息
  • 系统消息
  • 评论与回复
登录后你可以
  • 下载海量资料
  • 学习在线课程
  • 观看技术视频
  • 写文章/发帖/加入社区
创作中心

完善资料让更多小伙伴认识你,还能领取20积分哦,立即完善>

3天内不再提示

如何阅读时序报告?

FPGA技术驿站 来源:CSDN博客 作者:CSDN博客 2020-08-31 13:49 次阅读

生成时序报告后,如何阅读时序报告并从时序报告中发现导致时序违例的潜在问题是关键。首先要看Design Timing Summary在这个Summary里,呈现了Setup、Hold和Pulse Width的总体信息,但凡WNS、WHS或WPWS有一个小于0,就说明时序未收敛。

找到时序最糟糕的路径如果时序未收敛,并不需要分析所有未收敛的路径,而是先关注时序最糟糕的路径,先优化这些路径,有可能优化这些路径之后,这些路径收敛了,同时其他路径也能够收敛。只需要点击上图中WNS之后的数字,即可显示这些最糟糕的路径,如下图所示。

分析时序最糟糕的路径只需要双击上图中的路径,就能显示该路径对应的时序报告的详细信息,如下图所示。在这个报告中,首先可以看到Slack,其值为负,表明时序未收敛。接着看Source和Destination。通常,Source为时钟端口,如图中触发器的C端口;Destination为数据端口,如图中触发器的D端口。从Source和Destination还可以看到起始cell和终止cell的驱动时钟,从而可判定该路径是否为跨时钟域路径。这一点也可以从Requirement部分给出的信息加以验证。图中Requirement显示均为同一时钟,故此处为单一时钟下的时序路径。紧接着Path Type为Setup,表明该报告为建立时间路径报告,其后的信息Max at Slow Process Corner其中的Slow意味着High Temperature Low Voltage。如果是Path Type为Hold,表明该报告为保持时间路径报告,其后的信息为Min at Fast Process Corner,Fast意味着Low Temperature High Voltage。对于Requirement一栏,一定要看Requirement是否合理,例如,如果Requirement为1ns,那么显然是不合理的,这说明时序约束本身有问题。其后的Data Path Delay由两部分构成,逻辑延迟(对应图中的logic)和线延迟(对应图中的route)。这一栏同时显示了每部分延迟占的百分比。对于7系列FPGA,如果逻辑延迟超过了25%,那么说明时序违例的主要原因是逻辑级数太高了;对于UltraScale系列FPGA,这个指标则为50%。对于7系列FPGA,如果线延迟超过了75%,那么说明时序违例的主要原因是线延迟太高了;对于UltraScale系列FPGA,这个指标则为50%。对于Logic Levels,通常认为1个LUT+1根net的延迟为0.5ns,据此来评估逻辑级数是否过高。例如如果时钟为100MHz,那么逻辑级数在10/0.5=20左右是可以接受的。对于Clock Path Skew,如果该值超过了0.5ns,就要关注;对于Clock Uncertainty,如果该时钟是由MMCM或PLL生成,且Discrete Jitter超过了50ps,就要回到Clocking Wizard界面尝试修改参数改善Discrete Jitter。

对于跨时钟域路径,如下图所示,从Requirement部分信息可以看到源时钟和目的时钟是不同的,即可表明该路径为跨时钟域路径。这里Requirement为1ns,显然是不合理的,这说明跨时钟域路径的约束不合理。

总体而言,打开时序报告,要看路径Source、Destination、Requirement、Data Path Delay、Logic Levels、Clock Path Skew和Clock Uncertainty。还有一点至关重要,时序分析在综合之后就要开始分析,而不是等到布局布线之后再看。综合之后着重分析的是逻辑级数、资源利用率和控制集。

声明:本文内容及配图由入驻作者撰写或者入驻合作网站授权转载。文章观点仅代表作者本人,不代表电子发烧友网立场。文章及其配图仅供工程师学习之用,如有内容侵权或者其他违规问题,请联系本站处理。 举报投诉
  • 时序
    +关注

    关注

    5

    文章

    356

    浏览量

    36955
收藏 人收藏

    评论

    相关推荐

    FPGA时序收敛学习报告

    的方法一般有四个步骤:时序分析→时序约束→时序报告时序收敛。 为什么要进行时序分析?
    发表于 09-23 10:26

    FPGA实战演练逻辑篇65:CMOS摄像头接口时序设计5时序报告

    CMOS摄像头接口时序设计5时序报告(特权同学版权所有)本文节选自特权同学的图书《FPGA设计实战演练(逻辑篇)》(特权同学版权所有)配套例程下载链接:http://pan.baidu.com/s
    发表于 08-19 21:58

    如何实现硬件FPGA中的时序报告给出的时序

    大家好,我想知道如何实现硬件(FPGA)中的时序报告给出的时序。我的意思是,如何测量FPGA和FPGA中输入信号的建立或保持时间与静态时间报告给出的值进行比较。FPGA怪胎以上来自于谷
    发表于 01-15 11:07

    Vivado下显示指定路径时序报告的流程

      Vivado运行Report Timing Summary时,只显示各个子项目最差的十条路径,很可能并不包含你最关心的路近,这个时候显示指定路径的时序报告就显得很重要了,下面就简单介绍一下
    发表于 01-15 16:57

    高云半导体时序约束的相关内容

    实现时序约束以及如何阅读STA 报告。有关本手册中的高云半导体云源®软件(以下简称云源)界面截图参考的是 1.9.8.01 版本。因软件版本更新,部分信息可能会略有差异,具体以用户软件版本信息为准。
    发表于 09-29 08:09

    时序约束与时序分析 ppt教程

    时序约束与时序分析 ppt教程 本章概要:时序约束与时序分析基础常用时序概念QuartusII中的时序
    发表于 05-17 16:08 0次下载

    电动汽车交流充电桩的设计文献阅读综述报告

    电动汽车交流充电桩的设计文献阅读综述报告
    发表于 05-24 17:19 0次下载

    如何使用RPX文件保存和恢复时序报告

    了解如何使用RPX文件保存和恢复时序报告。 对于基于项目的用户,当您打开实施的设计时,实施的时间报告会自动打开。 对于非基于项目的用户,您可以保存RPX文件以进行比较。
    的头像 发表于 11-27 07:20 2940次阅读

    如何阅读时序报告并从中发现问题

    生成时序报告后,如何阅读时序报告并从时序报告中发现导
    的头像 发表于 08-31 13:52 3150次阅读
    如何<b class='flag-5'>阅读</b><b class='flag-5'>时序</b><b class='flag-5'>报告</b>并从中发现问题

    一文知道时序路径的构成

    更为具体的时序报告信息如何从中获取,或者如何根据时序报告发现导致时序违例的潜在原因呢?
    的头像 发表于 09-04 10:24 1632次阅读

    VIVADO中时序报告中WNS、WHS、TNS、THS有什么含义

    VIVADO中时序报告中WNS,WHS,TNS,THS含义运行“report_timing”或“report_timing_summary”命令后,会注意到 WNS、TNS、WHS 和 THS
    的头像 发表于 10-21 14:32 1.9w次阅读
    VIVADO中<b class='flag-5'>时序</b><b class='flag-5'>报告</b>中WNS、WHS、TNS、THS有什么含义

    关于Xilinx的工具报告

    FPGA综合和物理实现工具产生许多种报告,包含了错误和警告、逻辑利用、设计频率、时序、时钟等信息。需要设计者了解大量有关设计工具的知识才能阅读报告,以及迅速找到所需信息
    的头像 发表于 02-16 16:21 677次阅读

    时序分析工具对比报告

    电子发烧友网站提供《时序分析工具对比报告.pdf》资料免费下载
    发表于 09-27 11:08 0次下载
    <b class='flag-5'>时序</b>分析工具对比<b class='flag-5'>报告</b>

    如何读懂Vivado时序报告

    FPGA开发过程中,vivado和quartus等开发软件都会提供时序报告,以方便开发者判断自己的工程时序是否满足时序要求。
    的头像 发表于 06-23 17:44 607次阅读
    如何读懂Vivado<b class='flag-5'>时序</b><b class='flag-5'>报告</b>

    如何读懂FPGA开发过程中的Vivado时序报告

    FPGA开发过程中,vivado和quartus等开发软件都会提供时序报告,以方便开发者判断自己的工程时序是否满足时序要求。
    发表于 06-26 15:29 571次阅读
    如何读懂FPGA开发过程中的Vivado<b class='flag-5'>时序</b><b class='flag-5'>报告</b>?