0
  • 聊天消息
  • 系统消息
  • 评论与回复
登录后你可以
  • 下载海量资料
  • 学习在线课程
  • 观看技术视频
  • 写文章/发帖/加入社区
创作中心

完善资料让更多小伙伴认识你,还能领取20积分哦,立即完善>

3天内不再提示

如何通过Output Job输出原理图变量

Altium 来源:Altium 作者:Altium 2022-09-08 11:20 次阅读

通过Output Job生成的原理图打印上没有显示变量。

在Output Job中选择[Project Physical Documents],将会显示编译后的原理图图纸,其中包含图纸上不同的变量元素。

0985e122-29f0-11ed-ba43-dac502259ad0.png

术语“Project Physical Documents”指的是原理图的物理或编译后视图。在设置原理图打印的数据源时,All SCH Documents涉及的是项目中所有逻辑原理图的打印(原生,每张原理图未编译,在Editor下的视图)。要打印项目中所有的物理原理图(每张原理图编译后的视图),请确保将数据源设置为[Project Physical Documents]。



审核编辑:刘清

声明:本文内容及配图由入驻作者撰写或者入驻合作网站授权转载。文章观点仅代表作者本人,不代表电子发烧友网立场。文章及其配图仅供工程师学习之用,如有内容侵权或者其他违规问题,请联系本站处理。 举报投诉
  • SCH
    SCH
    +关注

    关注

    1

    文章

    45

    浏览量

    26455
  • Output
    +关注

    关注

    0

    文章

    31

    浏览量

    10416

原文标题:【Q&A】如何通过Output Job输出原理图变量

文章出处:【微信号:AltiumChina,微信公众号:Altium】欢迎添加关注!文章转载请注明出处。

收藏 人收藏

    评论

    相关推荐

    output函数怎么用

    输出到标准输出(通常是控制台窗口)。 在 Python 中,你可以使用 print 函数输出任何你想要查看的内容,包括字符串、变量等。以下是使用 print 函数的几个示例:
    的头像 发表于 02-23 14:21 385次阅读

    input和output的用法

    输入和输出(input and output)是计算机科学中常用的术语,用于描述数据在计算机系统中的流动和交互。输入是指将数据或命令送入计算机系统,输出则是计算机系统对输入数据进行处理后返回的结果
    的头像 发表于 02-23 14:04 449次阅读

    output1和output2有什么区别

    在计算机科学领域,输出被认为是一个程序或系统产生的结果。output1和output2是两个常见的输出标识,它们在不同的上下文中具有不同的含义和用途。
    的头像 发表于 02-23 13:45 334次阅读

    功放机OUTPUT是什么接口

    和应用场景,以及如何选择和使用相应的接口。 功放机OUTPUT接口的类型: (1)音频输出插孔:功放机通常会配备各种类型的音频输出插孔,如RCA、XLR、TRS等。这些插孔可以连接到不同类型的音箱和音频设备。RCA插孔是最常见的
    的头像 发表于 02-23 10:34 2333次阅读

    功放output接口有什么作用

    功放(Power Amplifier)的output接口是指功放器件输出信号的端口,用于连接音箱或扬声器等音频设备。功放的output接口具有以下作用: 功率输出:功放的主要功能是将低
    的头像 发表于 02-23 10:32 896次阅读

    PDF输出时出现错误提醒的解决方案

    通过Output Job或Smart PDF创建PDF后,Adobe Acrobat Reader在打开文件时会出现以下错误消息:“An error exists on this page.
    的头像 发表于 01-12 09:50 520次阅读
    PDF<b class='flag-5'>输出</b>时出现错误提醒的解决方案

    python怎么控制输出长度

    字符串 text 的前1500个字符,并将结果赋值给 output 变量。最后,通过 print() 函数输出 output
    的头像 发表于 11-22 10:43 594次阅读

    请问Output Voltage Range和Output Saturation Voltage有什么关系?

    大家好,你们好: 好吧,刚才有这个疑惑,但是仔细看了看,明白怎么回事了,写出来分享一下。 我在阅读AD8007的datasheet时,想查看AD8007的输出电压范围,也就是Output
    发表于 11-20 08:14

    AD9956单端输入和输出原理图应该怎样设计,datasheet和评估板里都没有参考方案?

    我们的AD9956设计成单端输入和输出,但是DDS没有输出,经测试SYNC_OUT管教并没有输出,供电应该是没有问题的,我怀疑是原理图有问题,想问下AD9956单端输入和
    发表于 11-16 06:47

    Java变量的命名规范

    两部分:变量的名字和变量的值,可通过变量名来访问变量值。简单来说,变量就是存储数据的载体。 对于
    的头像 发表于 10-10 11:49 431次阅读

    原理图绘制-25 - 第2节 #硬声创作季

    电路原理图软件
    充八万
    发布于 :2023年08月15日 03:57:14

    原理图绘制-25 - 第1节 #硬声创作季

    电路原理图软件
    充八万
    发布于 :2023年08月15日 03:56:24

    积分变量声明、AMEsim变量的使用及整数输入的意义

    AMEsim 状态图允许随着时间的推移对连续变量进行积分;允许在各种连续输出方程中使用积分变量
    的头像 发表于 08-03 16:33 1279次阅读
    积分<b class='flag-5'>变量</b>声明、AMEsim<b class='flag-5'>变量</b>的使用及整数输入的意义

    ADS应用技巧—ADS的变量扫描

    在ADS中,如果要扫描一个参数,通常是定义一个变量,用这个变量给元件参数赋值,再通过控件扫描这个变量
    的头像 发表于 07-05 11:31 6885次阅读
    ADS应用技巧—ADS的<b class='flag-5'>变量</b>扫描

    KiCAD原理图、PCB中文帮助手册

    放置符号时分配封装 用封装分配工具分配封装 正向和反向批注 从原理图更新 PCB(正向批注) 从 PCB 上更新原理图(反向批注) 生成输出 打印 绘制 生成物料清单 生成网表 符号和符号库 管理
    发表于 05-21 16:06