0
  • 聊天消息
  • 系统消息
  • 评论与回复
登录后你可以
  • 下载海量资料
  • 学习在线课程
  • 观看技术视频
  • 写文章/发帖/加入社区
创作中心

完善资料让更多小伙伴认识你,还能领取20积分哦,立即完善>

3天内不再提示

vivado中文件分类的理解

lhl545545 来源:FPGA通信小白成长之路 作者:FPGA通信小白成长之 2022-08-31 09:09 次阅读

最近有网友私信我,说我之前发的几篇文章写得不错,在此感谢大家的鼓励。

正如我在第一篇文章里所说,我分享的内容主要包括但不限于,HDL语言,TCL语言,vivado的使用,Modelsim/Questasim的使用,matlab的使用,通信原理及系统,无线通信数字信号处理等,由浅入深,化繁为简,后续内容听我娓娓道来。

今天我想说说我自己对vivado中文件分类的理解。

用过ISE的人都知道,vivado用起来是多么舒服,不管是从界面、综合策略、时序分析等各个方面来说,都有很好的体验,新版的vivado还引入了机器学习,进一步增强了综合能力。

从vivado的图形界面可以看到,工程文件主要包括:

HDL文件

IP文件

BD文件

约束文件

网表文件

辅助文件

HDL文件

主要是.v,.vhd文件,包括可以综合的,以及用于仿真的.v和.vhd文件,可以综合的文件也可被用于仿真。

Source框里的Compile Order可以看到文件的编译顺序,有时候如果有公用的package的.v文件的时候,可以看到package文件是否优先编译起效。

在vivado图形界面中,能够点击的对象都有自己的属性,可以通过CTRL+E快捷方式打开。

IP文件

主要是.xcix和.xci文件。

如果勾选设置选项下IP内的Use Core Containers for IP框框,则IP的形式为.xcix,否则IP形式为.xci,且每个IP都会生成以IP名命名的文件夹,.xcix简化了IP核的管理。

.xcix和.xci是可以相互转化的,IP核右键Enable Core Container或Disable Core Container即可。

BD文件

主要是.BD文件。

如果一个工程想套用另一个既有工程的BD文件,可以直接将BD文件夹整个进行复制,这样较为方便。

约束文件

主要是.xdc和.tcl文件,包括管脚约束、时序约束、debug约束、位置约束等。

管脚约束:管脚和电平。

时序约束:基本时钟约束,跨时钟域约束,路径约束。

Debug约束:抓取调试信号(使用综合里的set up debug会自动添加文件到这里,使用ip核中的ila核则不会),设置Debug_hub参数(debug_hub时钟等)。

位置约束:可通过pblock命令,设置指定模块位置或面积。

特别说明一下,约束的属性中有一个USED_IN的选项,之前提到的,如果要将当前工程封装成dcp文件,作为子文件放到大工程中,一定要勾选USED_IN中的synthesis、out_of_context选项,当然,USED_IN也适用于其他.v文件或ip核。

网表文件

主要是.dcp文件

用于封装子模块或子工程

辅助文件

主要是.tcl(钩子脚本)和.dcp(增量编译)文件

最近使用国内某公司的国产化芯片时,就用到了钩子脚本,用以给程序打补丁。按照手册打完补丁后,在设置中的综合、布局布线、生成比特流中,会看到.pre和.post里面已经有补丁脚本的路径。当然,也可以自己写钩子脚本。

审核编辑:彭静
声明:本文内容及配图由入驻作者撰写或者入驻合作网站授权转载。文章观点仅代表作者本人,不代表电子发烧友网立场。文章及其配图仅供工程师学习之用,如有内容侵权或者其他违规问题,请联系本站处理。 举报投诉
  • 数字信号
    +关注

    关注

    2

    文章

    796

    浏览量

    47215
  • TCL语言
    +关注

    关注

    0

    文章

    2

    浏览量

    6190
  • Vivado
    +关注

    关注

    18

    文章

    790

    浏览量

    65101

原文标题:说说vivado中的文件分类

文章出处:【微信号:FPGA通信小白成长之路,微信公众号:FPGA通信小白成长之路】欢迎添加关注!文章转载请注明出处。

收藏 人收藏

    评论

    相关推荐

    VIVADO安装问题解决

    vivado出现安装问题刚开始还以为是安装路径包含中文空格了,重装的注意了一下,发现还是这个问题。。。。后来又一顿操作猛如虎,终于发现了问题。出这个问题的原因是vivado压缩包解压的路径包含
    发表于 12-22 10:56 0次下载

    Vivado与ISE同时运行出现的奇怪现象

    近几天调试开发板,主芯片是XC7A100T,用Vivado给开发板下载bit文件,正常工作。
    的头像 发表于 12-04 09:54 411次阅读

    vivado 2018.1 综合失败且不报错、不生成runme.log日志文件,求大神帮忙

    : messages详情: log详情: 从网上找了很多解决方案都没用,例如: 1、检查代码是否有问题;(没有问题,未解决) 2、文件存放路径不能太长且不能有中文;(没有问题,未解决) 3、电脑名不能
    发表于 09-22 10:10

    Vivado设计套件用户:使用Vivado IDE的指南

    电子发烧友网站提供《Vivado设计套件用户:使用Vivado IDE的指南.pdf》资料免费下载
    发表于 09-13 15:25 5次下载
    <b class='flag-5'>Vivado</b>设计套件用户:使用<b class='flag-5'>Vivado</b> IDE的指南

    Vivado使用指南

    可能会用上,当然这个在后期也是可以补充添加的,使用Add Design Tools or Devices,安装完成后在开始菜单中有Xilinx的链接文件夹目录下选择对应版本的Vivado,目录下就有
    发表于 09-06 17:55

    vivado仿真时显示文件没添加是为什么?

    vivado进行仿真,文件添加有错误,按论坛里以前的建议把文件改成了全局变量,但还是显示无法添加。
    发表于 08-11 10:21

    如何实现基于FPGA Vivado的74系列IP封装呢?

    双击桌面图标打开Vivado 2017.2,或者选择开始>所有程序>Xilinx Design Tools> Vivado 2017.2>Vivado 2017.2;
    发表于 07-30 09:39 444次阅读
    如何实现基于FPGA <b class='flag-5'>Vivado</b>的74系列IP封装呢?

    vivado仿真流程

    vivado开发软件自带了仿真工具,下面将介绍vivado的仿真流程,方便初学者进行仿真实验。
    的头像 发表于 07-18 09:06 2554次阅读
    <b class='flag-5'>vivado</b>仿真流程

    用 TCL 定制 Vivado 设计实现流程

    需要维护不同的输入文件,例如约束等,输出文件也不是标准网表格式,并且形式各异,导致整体运行时间过长,冗余文件较多。 Vivado 中则统一了约束格式和数据模型,在设计实现的任何一个阶段
    发表于 06-28 19:34

    如何在Vivado中添加时序约束呢?

    今天介绍一下,如何在Vivado中添加时序约束,Vivado添加约束的方法有3种:xdc文件、时序约束向导(Constraints Wizard)、时序约束编辑器(Edit Timing Constraints )
    的头像 发表于 06-26 15:21 2234次阅读
    如何在<b class='flag-5'>Vivado</b>中添加时序约束呢?

    为EBAZ4205创建Xilinx Vivado文件

    电子发烧友网站提供《为EBAZ4205创建Xilinx Vivado文件.zip》资料免费下载
    发表于 06-16 11:41 1次下载
    为EBAZ4205创建Xilinx <b class='flag-5'>Vivado</b>板<b class='flag-5'>文件</b>

    记录VCS仿真的IP核只有VHDL文件的解决方法

    使用VCS仿真Vivado里面的IP核时,如果Vivado的IP核的仿真文件只有VHDL时,仿真将变得有些困难,VCS不能直接仿真VHDL
    的头像 发表于 06-06 11:15 1441次阅读
    记录VCS仿真的IP核只有VHDL<b class='flag-5'>文件</b>的解决方法

    Vivado HDL编写示例

    Vivado 软件提供了HDL编写中常用的示例,旨在帮助初学者更好地理解和掌握HDL编程,这里分享一下verilog代码示例。
    的头像 发表于 05-16 16:58 679次阅读

    Vivado布线和生成bit参数设置

    本文主要介绍Vivado布线参数设置,基本设置方式和vivado综合参数设置基本一致,将详细说明如何设置布线参数以优化FPGA设计的性能,以及如何设置Vivado压缩BIT文件
    的头像 发表于 05-16 16:40 3247次阅读
    <b class='flag-5'>Vivado</b>布线和生成bit参数设置

    用TCL定制Vivado设计实现流程

    今天推出Xilinx已发布的《Vivado使用误区与进阶》系列:用TCL定制Vivado设计实现流程。
    的头像 发表于 05-05 09:44 729次阅读
    用TCL定制<b class='flag-5'>Vivado</b>设计实现流程