0
  • 聊天消息
  • 系统消息
  • 评论与回复
登录后你可以
  • 下载海量资料
  • 学习在线课程
  • 观看技术视频
  • 写文章/发帖/加入社区
创作中心

完善资料让更多小伙伴认识你,还能领取20积分哦,立即完善>

3天内不再提示

芯片设计之ASIC设计流程和逻辑综合

倩倩 来源:《IC设计与方法》 作者:《IC设计与方法》 2022-08-12 15:06 次阅读

1、ASIC设计流程

ASIC(专用集成电路)的设计如下,Front-end部分是前端设计,Back-end部分是后端设计。

332cd184-19f6-11ed-ba43-dac502259ad0.png

图片来源:学堂在线《IC设计与方法》

Funct.Spec代表设计需求,在具体设计需求提出后,编写RTL代码(Verilog代码设计电路的一种设计类别);RTL代码编译完成,进行Function.Simul,即仿真;仿真结束后,进行逻辑综合(Logic Synth.),将Verilog代码转化为电路,术语称该电路为门级网单(Gate-Level Net.)。


逻辑综合(Logic Synth.)过程需要约束(Stat. Wire Model)以产生规定条件下的电路。具体电路设计完成后,需进行门级仿真(Gate-Lev.Sim),以检查电路设计是否出现失误。

门级网单确定后,进入后端设计。首先是布局规划(Floorplanning),摆放门级网单中的各个元器件位置。然后是布局和布线(Place&Route),将各个元器件连接。最后产生电路的版图(Layout)。

在将电路的版图加工制造前,为防止后端设计失误,需要进行验证。验证前需提取版图的寄生参数(Parasitic Extrac.),寄生参数包括导线的寄生电容、寄生电感、寄生电阻,寄生参数会造成信号传输延时、失真、干扰。验证中,将寄生参数反标到门级网单上,再次做门级仿真(Gate-Lev.Sim)。最终的仿真结果没有问题后,电路版图可以用于加工制造。

2、逻辑综合

芯片代码仿真的下一步是逻辑综合,逻辑综合将Verilog代码转化为电路图。逻辑综合的目的是决定门级结构,寻求时序、面积、功耗的平衡。寻求平衡的方式有两种:约束驱动和路径驱动。

约束驱动是平衡面积和速度的方式。一个厂家(如TSMC)的一种工艺条件(如0.18um工艺)下,芯片的速度快和面积小相互制约,速度更快的芯片需要更大的面积,面积更小的芯片速度更慢。不同厂家的同种工艺面积和速度的制约程度不一定相同,有经验的设计人员可以选择合适的厂家寻求最优的面积和速度。

33628ae0-19f6-11ed-ba43-dac502259ad0.png

图片来源:学堂在线《IC设计与方法》

路径驱动是将电路中的元器件以最优的方式连接。

3390a13c-19f6-11ed-ba43-dac502259ad0.png

图片来源:学堂在线《IC设计与方法》

逻辑综合过程可运用预先设计完成的逻辑单元,提高设计效率。逻辑单元包括标准单元和宏单元。标准单元的尺寸有一定的标准,包括基本门电路、寄存器等。宏单元功能相对复杂,难以在固定高度内安装,包括RAM存储器、ROM存储器、数值运算单元等。

标准单元的名称详细,如ARM UMC L180GⅡ 1.8V标准单元库表示:由ARM公司(个人理解:设计公司)提供的,针对UMC(联华电子公司,个人理解:制造公司)厂家的,针对逻辑工艺的,180nm(0.18um)的,GⅡ工艺的,1.8V的标准单元库。

标准单元包括元器件数量多,ARM UMC L180GⅡ 1.8V标准单元库包含124种元器件,数量为470个。

逻辑综合过程还需进行静态时序分析。静态时序分析的前提是触发器使用同一时钟,即全同步电路。静态时序分析的准则为:

时钟周期>Clockto Q+穿越组合逻辑电路的最长延时+Set up

其中Clock to Q是时钟沿出现到触发器输出信号的延时,Set up时间是下一级触发器输入信号稳定建立的延时。

33c0792a-19f6-11ed-ba43-dac502259ad0.png

图片来源:学堂在线《IC设计与方法》

当静态时序分析的准则成立时,时序逻辑电路可以稳定工作。

审核编辑 :李倩

声明:本文内容及配图由入驻作者撰写或者入驻合作网站授权转载。文章观点仅代表作者本人,不代表电子发烧友网立场。文章及其配图仅供工程师学习之用,如有内容侵权或者其他违规问题,请联系本站处理。 举报投诉
  • 集成电路
    +关注

    关注

    5320

    文章

    10729

    浏览量

    353340
  • asic
    +关注

    关注

    34

    文章

    1154

    浏览量

    119259
  • 仿真
    +关注

    关注

    50

    文章

    3872

    浏览量

    132154

原文标题:芯片设计相关介绍(23)——ASIC设计流程和逻辑综合

文章出处:【微信号:行业学习与研究,微信公众号:行业学习与研究】欢迎添加关注!文章转载请注明出处。

收藏 人收藏

    评论

    相关推荐

    典型的基于RTL的ASIC设计流程分析

    FPGA的前端设计流程类似于ASIC,但后端不同。FPGA的后端部分与ASIC的主要区别在于FPGA的布局和布线。对于ASIC,place and route软件决定IC的制造方式。
    的头像 发表于 06-20 16:24 4780次阅读
    典型的基于RTL的<b class='flag-5'>ASIC</b>设计<b class='flag-5'>流程</b>分析

    逻辑综合流程和命令简析

    综合就是把Verilog、VHDL转换成网表的过程。综合按照是否考虑物理布局信息可分为逻辑综合和物理综合
    的头像 发表于 08-09 09:51 699次阅读
    <b class='flag-5'>逻辑</b><b class='flag-5'>综合</b>的<b class='flag-5'>流程</b>和命令简析

    ASIC设计流程及其应用

    主要介绍了ASIC设计的流程及各个阶段所使用的软件。
    发表于 06-16 11:01

    ASIC设计全流程入门资料

    ASIC设计全流程入门资料,包括软件的使用。
    发表于 05-06 14:11

    FPGA vs ASIC 你看好谁?

    描述、电路设计与输入、功能仿真、综合优化、综合后仿真、实现与布局布线、时序仿真、板级仿真与验证、调试与加载配置。ASIC的设计流程(数字芯片
    发表于 09-02 22:24

    ASIC有哪些设计流程

    参考中外文以及互联网资料,写一篇ASIC设计流程文章供大家参考,文中有不妥之处,还望批评指正,谢谢!
    发表于 07-23 09:46

    ASIC与FPGA的开发流程是怎样的

    ASIC的设计流程是怎样的?FPGA的开发流程又是怎样的?
    发表于 11-01 07:08

    数字芯片设计流程

    数字芯片设计流程:功能验证之前与工艺库没多大联系,验证芯片设计的功能是否正确,针对抽象的代码进行功能验证理想值。一致性验证确保生成的网表和代码设计功能一致;DFT之后是数字后端。静态时序分析,从
    发表于 11-10 06:14

    基于ASIC设计的手工综合研究

    基于ASIC设计的手工综合研究 0 引 言   随着专用集成电路(Application Specific Integrat-ed Circuit)设计的迅速发展,将寄存器传输级(RTL)描述的手工综合成门级网表,是
    发表于 11-25 11:13 639次阅读
    基于<b class='flag-5'>ASIC</b>设计的手工<b class='flag-5'>综合</b>研究

    DC逻辑综合

    芯片综合的过程:芯片的规格说明,芯片设计的划分,预布局,RTL 逻辑单元的综合,各
    发表于 12-29 16:28 25次下载
    DC<b class='flag-5'>逻辑</b><b class='flag-5'>综合</b>

    27张详解ASIC芯片设计生产流程的PPT

    详解ASIC芯片设计生产流程的PPT
    的头像 发表于 07-16 15:37 1w次阅读

    ASIC逻辑综合及Synopsys Design Compiler 的使用资料说明

    本文档的主要内容详细介绍的是ASIC逻辑综合及Synopsys Design Compiler 的使用资料说明包括了:1、逻辑综合基本概念
    发表于 10-23 08:00 5次下载
    <b class='flag-5'>ASIC</b><b class='flag-5'>逻辑</b><b class='flag-5'>综合</b>及Synopsys  Design Compiler 的使用资料说明

    ASIC芯片设计开发流程

    ASIC芯片设计开发流程说明。
    发表于 04-07 09:18 64次下载
    <b class='flag-5'>ASIC</b><b class='flag-5'>芯片</b>设计开发<b class='flag-5'>流程</b>

    芯片设计之逻辑综合过程

    逻辑综合操作(Compile design),根据芯片的复杂程度,逻辑综合操作的时间可能是几秒,也可能是半个月。如果设计环境和约束设置不当,
    的头像 发表于 08-12 15:10 3476次阅读

    什么是逻辑综合逻辑综合流程有哪些?

    逻辑综合是将RTL描述的电路转换成门级描述的电路,将HDL语言描述的电路转换为性能、面积和时序等因素约束下的门级电路网表。
    的头像 发表于 09-15 15:22 2275次阅读
    什么是<b class='flag-5'>逻辑</b><b class='flag-5'>综合</b>?<b class='flag-5'>逻辑</b><b class='flag-5'>综合</b>的<b class='flag-5'>流程</b>有哪些?