0
  • 聊天消息
  • 系统消息
  • 评论与回复
登录后你可以
  • 下载海量资料
  • 学习在线课程
  • 观看技术视频
  • 写文章/发帖/加入社区
创作中心

完善资料让更多小伙伴认识你,还能领取20积分哦,立即完善>

3天内不再提示

vcs学习笔记(常用选项/仿真流程/代码覆盖率/综合后仿真/图一乐技巧)

路科验证 来源:数字ICer 作者:数字ICer 2022-05-23 16:04 次阅读

本节主要介绍:
vcs常用选项
vcs仿真流程
vcs代码覆盖率
vcs综合后仿真
图一乐技巧

VCS是编译型verilog仿真器,VCS先将verilog/systemverilog文件转化为C文件,在linux下编译生成的可执行文./simv即可得到仿真结果。

bc421940-da5c-11ec-ba43-dac502259ad0.png

vcs编译后,生成可执行二进制文件simv:执行./simv进行仿真;

vcs常用选项

  • vcs -help :列出所有vcs编译运行选项
  • -Mupdate :增量编译
  • -R:编译后立即执行仿真
  • -l:输出编译log的文件
  • -sverilog:支持systemverilog
  • +v2k:支持2001 verilog标准
  • -f:指定包含文件列表的filelist
  • -o:修改可执行文件simv文件名
  • -full64:支持64位模式下的编译仿真
  • -fsdb:dump fsdb波形
  • -ucli:在UCLI命令行模式下执行simv
  • -gui :DVE 界面运行
  • -work library:将设计库名称映射到接收vlogan输出的逻辑库名称work
  • -v lib_flie:搜索指定lib库文件
  • -y lib_dir:搜索指定lib库路径
  • +libext+ext:搜索具有指定文件扩展名的文件,如+libext+.v +.sv
  • +incdir+directory:搜索指定include文件路径
  • +define+macro:使用源代码中`ifdef所定义的宏或者define源代码中的宏
  • -parameters filename:将filename文件中指定的参数更改为此时指定的值
  • -timescale=1ns/1ns:设置仿真精度
  • -debug、debug_all、debug_pp:打开debug开关

vcs仿真流程

编写makefie脚本运行仿真:

bc5ce7de-da5c-11ec-ba43-dac502259ad0.pngmakefie

执行下面编译仿真:

makevcs
makesim

1.DVE图形界面打开

在编译时,使用 +vpdfile+filename 可以更改生成 VPD 文件的文件名,默认为vpdplus.vpd。

makefile中添加:

ALL_DEFINE=+define+DUMP_VPD
VPD_NAME=+vpdfile+simv.vpd

仿真文件tb.v中添加:

`ifdefDUMP_VPD
initialbegin
$display("DumpVPDwave!");
$vcdpluson();
//$vcdpluson(0,tb);//记录tb及其所有子模块的波形。
//$vcdpluson(1,tb );//只记录tb层的波形
//$vcdpluson(2,tb );//记录tb层和tb下一层的波形
end
`endif

在仿真完成后,生成了simv.vpd 这个文件,这个文件记录了仿真过程中所有信号的波形,

可以使用dve打开:

dve-vpdsimv.vpd&
bc7f759c-da5c-11ec-ba43-dac502259ad0.png

选中所有信号 --> 右键Add to Waves --> New Wave View

bcb9d3c2-da5c-11ec-ba43-dac502259ad0.png

2.verdi图形界面打开

通常使用VCS生成fsdb格式的波形文件,将其导入另一个软件Verdi查看波形,代替DVE进行联合仿真;

vcs选项加上-fsdb,仿真文件tb.v中添加:

initialbegin
$display("Dumpfsdbwave!");
$fsdbDumpfile("tb.fsdb");
$fsdbDumpvars;
end

仿真完成后执行下面命令打开verdi:

makeverdi

推荐查看verdi实用技巧fsdb实用技巧

代码覆盖率

在一个芯片验证的工程中,通常以代码覆盖率和功能覆盖率来体现验证是否完备;

功能覆盖率就是检查设计的功能是否完善,需要考虑很多不同的情况,是使用SV的重点内容。

代码覆盖率是检查代码是否存在冗余,检查所有的代码是否都已经执行,包括:行,状态机,翻转,条件,分支覆盖率等,这里只讨论代码覆盖率。

VCS在统计代码覆盖率的过程中,需要在编译和仿真命令上添加对应的开关选项,生成.vdb文件记录覆盖率情况。

再使用dve打开该文件进行查看覆盖率。

代码覆盖率选项

bccedf10-da5c-11ec-ba43-dac502259ad0.png
  • -cm :打开对应类型覆盖率,例如 -cm cond+tgl+lin+fsm+path为统计所有覆盖率。
  • -cm_name:统计覆盖率文件名字。
  • -cm_dir:指定生成.vdb文件目录。
  • -cm_log+filename.log:记录仿真过程中log信息
  • -cm_nocasedef: 在统计case语句的条件覆盖率时,不考虑default条件未达到的情况。
  • -cm_hier xxx.cfg:通过.cfg文件选择要查看覆盖率的模块或文件。

通过DVE查看覆盖率(方法1)

仿真完成后执行下面命令dve -covdir *.vdb &打开dve查看覆盖率:

makedve_cov

代码覆盖到的为绿色,没有覆盖到的为红色:

bceada26-da5c-11ec-ba43-dac502259ad0.png

通过URG查看覆盖率(方法2)

可以以文本格式或者网页格式显示覆盖率;

bd33cf4c-da5c-11ec-ba43-dac502259ad0.png

或者:

urg-dir*.vdb-reporturgReport

进入urgReport目录,执行下面命令打开网页版,查看覆盖率报告

firefox*.html
bd54e2ae-da5c-11ec-ba43-dac502259ad0.png

综合后仿真

推荐查看综合与时序分析

推荐查看后仿及反标

vcs门级网表仿真

当RTL功能仿真通过之后,DC工具中进行逻辑综合,在逻辑综合完成之后,需要对综合生成的网表再进行仿真验证。

综合后包含电路的实际信息,如映射的门电路信息、寄生参数、.v的网表、SDF标准延时信息、SDC约束、工作条件等信息。

后仿选项

后仿不需要的选项

带时序的后仿,一定要注意仿真器是否关闭了notimingcheck和nospecify的选项。

如果有-nospecify,那么SDF中的时序信息就反标不到仿真模型中;

如果有-notimingcheck,那么后仿过程中就不检查时序违例,后仿就失去了意义。

后仿添加选项

  • +neg_tchk:若要使用负延时检查,在编译后仿时必须包含+neg_tchk选项。如果省略此选项,VCS将所有负延迟更改为0。
  • -negdelay:用于SDF文件中有负延迟,如果省略此选项,VCS将所有负延迟更改为0。

sdf_annotate反标

使用$sdf_annotate将SDF文件反标到网表中:

$sdf_annotate("sdf_file"[,module_instance][,"sdf_configfile"][,"sdf_logfile"][,"mtm_spec"][,"scale_factors"][,"scale_type"]);

在tb中加载sdf文件,指定反标模块:

`ifdefGLS_SIM
initialbegin
$sdf_annotate("../../netlist_sim/sdf/TOP.sdf",tb.U_TOP,,"sdf.log","TYPICAL");
end
`endif

修改makefie脚本运行仿真:

LIB_NET_FILE指定的是基本的工艺库单元,因为网表文件netlist_TOP.v中包括综合后的由门电路和触发器等例化形成的verilog文件,所以需要基本的工艺库单元。

bd7860da-da5c-11ec-ba43-dac502259ad0.pngmakefile

rtl仿真和netlist仿真结果对比:

可以看到netlist仿真的输出信号存在一些毛刺及延迟,与理想的没有延迟信息的rtl仿真不同。

bde84c38-da5c-11ec-ba43-dac502259ad0.pngrtl仿真be13ac5c-da5c-11ec-ba43-dac502259ad0.pngnetlist仿真

图一乐技巧

display打印彩色字/背景

可在仿真中加入颜色显示case的情况,如:

be64ab52-da5c-11ec-ba43-dac502259ad0.pngbe8b1ed6-da5c-11ec-ba43-dac502259ad0.png

红色:前景色31,背景色41:

$display("33[31;41mHelloerror!33[0m");

绿色:前景色32,背景色42:

$display("33[32;42mHellopass!33[0m");

黄色:前景色33,背景色43:

$display("33[33;43mHellowarning!33[0m");

涉及的颜色:

bebb9b7e-da5c-11ec-ba43-dac502259ad0.png涉及的颜色

原文标题:vcs实用技巧

文章出处:【微信公众号:路科验证】欢迎添加关注!文章转载请注明出处。

审核编辑:汤梓红
声明:本文内容及配图由入驻作者撰写或者入驻合作网站授权转载。文章观点仅代表作者本人,不代表电子发烧友网立场。文章及其配图仅供工程师学习之用,如有内容侵权或者其他违规问题,请联系本站处理。 举报投诉
  • Linux
    +关注

    关注

    87

    文章

    10988

    浏览量

    206725
  • 仿真器
    +关注

    关注

    14

    文章

    988

    浏览量

    82989
  • Verilog
    +关注

    关注

    28

    文章

    1325

    浏览量

    109298
  • VCS
    VCS
    +关注

    关注

    0

    文章

    78

    浏览量

    9493

原文标题:vcs实用技巧

文章出处:【微信号:Rocker-IC,微信公众号:路科验证】欢迎添加关注!文章转载请注明出处。

收藏 人收藏

    评论

    相关推荐

    一文详解Modelsim代码覆盖率功能使用

    )、toggle(信号翻转)、fsm(有限状态机)等多种覆盖率情况。 1、编译选项(compile option): 在Modelsim的Workspace里选中需要查看代码覆盖率的文
    的头像 发表于 12-09 11:45 4905次阅读

    怎么用Vivado做覆盖率分析

    在做仿真的时候往往会去做代码覆盖率和功能覆盖率的分析,来保证仿真是做的比较充分完备的。
    的头像 发表于 01-03 12:34 585次阅读
    怎么用Vivado做<b class='flag-5'>覆盖率</b>分析

    代码功能仿真切正常,但是为什么我综合仿真就...

    请问下我代码功能仿真切正常,但是为什么我综合
    发表于 05-14 16:13

    VCS DVE 仿真工具讲解

    VCS是Synopsys公司的数字逻辑仿真工具,DVE是个图形界面,便于调试RTL代码,查看波形。本视频简要介绍VCS
    发表于 10-04 13:07

    功能仿真综合仿真与时序仿真

    modelsim时,会给出相应的时序关系。这只能验证你的代码正确性。综合仿真:将刚才编写的代码通过综合
    发表于 08-23 16:57

    嵌入式仿真平台SkyEye的覆盖率分析相关资料下载

    代码执娜行覆盖情况的功能,来检测代码中未执行覆盖情况。在覆盖率分析时需要分析嵌入式软件的指令覆盖率
    发表于 12-17 07:27

    关于SpinalHDL中的验证覆盖率收集简单说明

    通过指定withCoverage选项来开启覆盖率收集。在《SpinalHDL—基于Scala的测试框架》文中我们拍完仿真测试用例在相应目
    发表于 06-24 15:56

    基于linux系统的VCS使用及仿真说明

    代码使用为例,进行VCS使用说明。简要说明下该串口功能。该串口工作在波特为115200,无奇偶检验位。位停止位。当使能信号有效,就将8位数据发送出去,输出结束
    发表于 07-18 16:18

    重点厘清覆盖率相关的概念以及在芯片开发流程中跟覆盖率相关的事项

    代码覆盖率种软件和硬件开发都通用的手段,通过在仿真程序运行的过程中记录统计数据,来说明代码中哪些语句被分别执行了多少次。通过对
    发表于 09-14 11:57

    Systemverilog覆盖率的合并和计算方式

      在systemverilog中,对于个covergroup来说,可能会有多个instance,我们可能需要对这些instance覆盖率进行操作。  只保存covergroup type的覆盖率
    发表于 03-21 14:24

    Verilog代码覆盖率检查

    Verilog代码覆盖率检查是检查验证工作是否完全的重要方法,代码覆盖率(codecoverge)可以指示Verilog代码描述的功能有多少
    发表于 04-29 12:35 7939次阅读

    使用VCS两种仿真flow的基本步骤

    的design,并且还提供了一组仿真和调试功能来验证design,这些特性提供了源代码级debug和仿真结果。支持原生测试平台、SystemVerilog、验证规划、覆盖率分析和收敛。
    的头像 发表于 05-07 14:20 4083次阅读

    浅谈VCS的两种仿真flow

    几乎所有的芯片设计、芯片验证工程师,每天都在和VCS打交道,但是由于验证环境的统一化管理,一般将不同的编译仿真选项集成在一个文件里,只需要一两个人维护即可。所以大部分人比较少有机会去深入地学习
    的头像 发表于 01-10 11:20 2455次阅读

    EDA仿真验证环境中的激励、检查和覆盖率

    下图是一个典型的EDA仿真验证环境,其中主要的组件就是激励生成、检查和覆盖率收集。
    的头像 发表于 04-15 10:13 1291次阅读

    VCS 仿真option 解析

    VCS仿真选项分编译(compile-time)选项和运行(run-time)选项。编译选项
    的头像 发表于 01-06 10:19 530次阅读