0
  • 聊天消息
  • 系统消息
  • 评论与回复
登录后你可以
  • 下载海量资料
  • 学习在线课程
  • 观看技术视频
  • 写文章/发帖/加入社区
创作中心

完善资料让更多小伙伴认识你,还能领取20积分哦,立即完善>

3天内不再提示

如何构建基于DPU的SmartNIC

星星科技指导员 来源:NVIDIA 作者:Kevin Deierling 2022-04-19 15:51 次阅读

每个人都在谈论基于数据处理单元的智能网卡,但没有回答一个简单的问题:什么是智能网卡,它们做什么?

NIC 代表网络接口卡。实际上, NIC 是一种插入服务器或存储盒以连接到以太网网络的 PCIe 卡。基于 DPU 的 SmartNIC 超越了简单的连接,在基础 NIC 的情况下,在 NIC 上实现了 CPU 必须执行的网络流量处理。

一些供应商对基于 DPU 的 SmartNIC 的定义完全集中在实现上。这是有问题的,因为不同的供应商有不同的体系结构。因此,基于 DPU 的 SmartNIC 可以是基于 ASICFPGA 和片上系统的。当然,只生产一种 NIC 的供应商坚持认为,只有其类型的 NIC 才有资格成为 SmartNIC 。

基于 ASIC 的网卡

优异的性价比

供应商开发成本高

编程和可扩展

灵活性仅限于预定义的功能

基于 FPGA 的 NICs

性能好但价格昂贵

难以编程

特定于工作负载的优化

基于 SoC 的 NIC + CPU

良好的性价比

C 可编程处理器

最高灵活性

最简单的可编程性

在这些不同的实现之间,在成本、编程的易用性和灵活性方面存在各种权衡。 ASIC 具有成本效益,可能提供最佳性价比,但灵活性有限。基于 ASIC 的 NIC ,如 NVIDIA ConnectX-5,可以具有相对简单的可编程数据路径。最终,该功能基于 ASIC 中定义的功能而受到限制。这可能会阻止支持某些工作负载。

相比之下, FPGA NIC (如 NVIDIA Innova-2 Flex)具有高度可编程性。只要有足够的时间和精力,就可以在可用门的约束范围内相对高效地支持几乎任何功能。然而,众所周知, FPGA 编程困难且价格昂贵。

对于更复杂的用例, SOC (如 Mellanox BlueField DPU – 可编程智能网卡)提供了似乎是最好的基于 DPU 的 SmartNIC 实现选项:良好的性价比、易于编程和高度灵活。

图 1 SmartNIC 实现比较

专注于特定供应商如何实现基于 DPU 的 SmartNIC ,并没有说明它能够实现什么或应该如何构建。 NVIDIA 实际上有基于这些架构的产品,这些架构可以归类为基于 DPU 的 SmartNIC 。事实上,客户根据自己的需要,将这些产品用于不同的工作负载。因此,将重点放在实现 ASIC 、 FPGA 和 SoC 上,颠覆了最佳体系结构成就背后的“形式遵循功能”理念。

我没有把重点放在实现上,而是对PC 杂志百科全书条目进行了调整,给出了一个使 NIC 成为基于 DPU 的 SmartNIC 的工作定义:

基于 DPU 的 SmartNIC :

一种基于 DPU 的网络接口卡(网络适配器),用于卸载系统 CPU 通常会处理的处理任务。使用自己的板载处理器,基于 DPU 的 SmartNIC 可以执行加密/解密、防火墙、 TCP / IP 和 HTTP 处理的任意组合。 SmartNIC 非常适合高流量 web 服务器。

这个定义有两点我喜欢。首先,它关注的是功能而不是形式。其次,它用语句提示这种形式,“…使用自己的板载处理器…执行任何组合的…”网络处理任务。因此,嵌入式处理器是实现灵活执行几乎任何网络功能的关键。

您可以通过添加基于 DPU 的智能网卡或许也能执行网络、存储或 GPU 虚拟化来现代化该定义。此外, SmartNIC 还非常适合电信、安全、机器学习、软件定义存储和超聚合基础设施服务器,而不仅仅是 web 服务器。

NIC 类别

以下是如何通过网络适配器可以支持并用于加速不同工作负载的功能来区分三类 NIC :

图 2 NIC 类别的功能比较

在这里,我根据 NIC 加速特定功能的能力定义了三类 NIC :

基础 NIC

智能网卡( iNIC )

基于 DPU 的 SmartNIC

基础 NIC 或基本 NIC 只是移动网络流量,除了可能的 SRIOV 和基本 TCP 加速之外,很少或没有卸载。它不保存任何 CPU 周期,也不能卸载数据包控制或流量流。在 NVIDIA ,我们甚至不再销售基础 NIC 。

NVIDIA ConnectX 适配器系列具有可编程数据路径,并加速了一系列功能,这些功能最初在公共云使用案例中变得非常重要。出于这个原因,我将这种类型的 NIC 定义为 iNIC ,尽管今天本地企业、电信和私有云与公共云提供商一样需要这种类型的可编程性和加速功能。它的另一个名字可能是没有资本的智能

在许多情况下,客户告诉我们,他们需要竞争对手提供的基于 DPU 的 SmartNIC 功能,这些功能可以是 FPGA ,也可以是结合定制专有处理引擎的 NIC 。但是,当客户真正了解其特定工作负载所需的功能时,他们最终会决定, ConnectX 系列 iNICs 提供了其他所谓 SmartNIC 的所有功能、性能和灵活性,而功耗和成本仅为后者的一小部分。因此,根据一些竞争对手使用的 SmartNIC 的定义,我们的 ConnectX NIC 确实是 SmartNIC ,尽管我们 MIG 称之为智能 NIC 或更智能的 NIC 。我们的 FPGA NIC ( Innova )也是经典意义上的 SmartNIC ,我们的 SoC NIC (使用 BlueField )是 SmartNIC 中最智能的,我们可以称之为 Genius NIC

那么,什么是 SmartNIC ?基于 DPU 的 SmartNIC 是一种网络适配器,可加速功能并将其从服务器(或存储) CPU 中卸载。

您应该如何构建基于 DPU 的 SmartNIC ,以及哪种 SmartNIC 对于每个工作负载来说是最好的……好吧,问题在于细节。深入了解哪些数据路径和虚拟化加速可用以及如何使用它们非常重要。

关于作者

Kevin Deierling 从 2013 年 3 月开始担任 Mellanox 的营销副总裁。此前,他曾担任 Genia Technologies 的技术副总裁、 Silver Spring Networks 的首席架构师,并在 Spans Logic 负责营销和业务开发

审核编辑:郭婷

声明:本文内容及配图由入驻作者撰写或者入驻合作网站授权转载。文章观点仅代表作者本人,不代表电子发烧友网立场。文章及其配图仅供工程师学习之用,如有内容侵权或者其他违规问题,请联系本站处理。 举报投诉
  • NVIDIA
    +关注

    关注

    14

    文章

    4592

    浏览量

    101703
  • 适配器
    +关注

    关注

    8

    文章

    1821

    浏览量

    66932
  • 服务器
    +关注

    关注

    12

    文章

    8113

    浏览量

    82499
收藏 人收藏

    评论

    相关推荐

    DPU技术赋能下一代AI算力基础设施

    4月19日,在以“重构世界 奔赴未来”为主题的2024中国生成式AI大会上,中科驭数作为DPU新型算力基础设施代表,受邀出席了中国智算中心创新论坛,发表了题为《以网络为中心的AI算力底座构建之路》主题演讲,勾勒出在通往AGI之路上,DP
    的头像 发表于 04-20 11:31 378次阅读

    明天线上见!DPU构建高性能云算力底座——DPU技术开放日最新议程公布!

    技术在不同行业中的应用解决方案有哪些?能带来怎样的业务效果? 3月29日本周五,中科驭数集结产品大咖及解决方案专家团,诚邀您参加以“DPU构建高性能云算力底座”为主题的线上DPU技术开放日! 他们将逐一与您分享中科驭数系列
    的头像 发表于 04-03 18:12 718次阅读

    《数据处理器:DPU编程入门》DPU计算入门书籍测评

    一、DPU计算框架 通过一周多的时间翻阅这本书,基本上这本书是一本比较全面的,面向架构的新手指导数据。它在书中详尽介绍了关于DPU在计算机架构中的应用。 对于DPU来说,是一种平行于CPU和GPU
    发表于 12-24 10:54

    《数据处理器:DPU编程入门》读书笔记

    首先感谢电子发烧友论坛提供的试读机会。 第一周先阅读了DPU的技术发展背景,了解到DPU是结合了高性能及软件可编程的多核CPU、高性能网络接口,以及各种灵活和可编程的加速引擎。DPU的主要作用是卸载
    发表于 12-21 10:47

    《数据处理器:DPU编程入门》+初步熟悉这本书的结构和主要内容

    dpu:推出的主要意义是为了减轻CPU的数据处理负担,使得cpu可以更具专注自己的通用计算处理运算。 1、DPU数据处理器,演进的核心驱动力是什么? 计算工作负载的不断增长是DPU演进的核心驱动力
    发表于 12-08 18:03

    什么是DPU

    安全的、裸性能的、原生云计算的下一代云上大规模计算至关重要。 DPU:集成到SmartNIC DPU可以用作独立的嵌入式处理器,但通常是被集成到SmartNIC(一种作为下一代服务器中
    发表于 11-03 10:55

    基于openEuler构建DPU-OS解决 DPU 厂商及客户之间的适配问题

    税可能占据数据中心算力的 30% 以上,部分场景下甚至可能更多[1][2]。 DPU (Data Processing
    的头像 发表于 11-02 10:03 430次阅读
    基于openEuler<b class='flag-5'>构建</b>的<b class='flag-5'>DPU</b>-OS解决 <b class='flag-5'>DPU</b> 厂商及客户之间的适配问题

    解码 DPU 编程,投稿赢取好礼!

    NVIDIA 发布首部 DPU 和 DOCA 编程入门书籍 前不久 NVIDIA 推出了备受业界好评的首部 DPU 处理器编程入门书籍 —— 《数据处理器:DPU 编程入门》 ,许多读者纷纷留言
    的头像 发表于 11-01 20:25 228次阅读
    解码 <b class='flag-5'>DPU</b> 编程,投稿赢取好礼!

    【书籍评测活动NO.23】数据处理器:DPU编程入门

    资格! “DPU将是未来计算的三大支柱之一。CPU用于通用计算,GPU用于加速计算,而DPU在数据中心移动数据,进行数据处理。” ——NVIDIA 创始人兼首席执行官黄仁勋于 GTC 2020
    发表于 10-24 15:21

    Alveo SN1000 SmartNIC数据表

    电子发烧友网站提供《Alveo SN1000 SmartNIC数据表.pdf》资料免费下载
    发表于 09-13 15:19 0次下载
    Alveo SN1000 <b class='flag-5'>SmartNIC</b>数据表

    【KV260视觉入门套件试用体验】部署DPU镜像并运行Vitis AI图像分类示例程序

    的预构建目标映像(以下简称“DPU镜像”) Vitis AI Docker容器:模型开发工具 Vitis AI github 存储库:模型部署库、设置脚本、示例和参考设计 二、部署DPU镜像到
    发表于 09-10 23:01

    英特尔SmartNIC助力加速通信与网络工作负载的方法介绍

    英特尔® FPGA SmartNIC N6000-PL 平台是第三代英特尔® SmartNIC,提供 2 个 100 GbE 端口。
    发表于 08-18 17:48 1206次阅读
    英特尔<b class='flag-5'>SmartNIC</b>助力加速通信与网络工作负载的方法介绍

    为什么现有网络设施需要集成SmartNIC / DPU

    长期以来,CPU一直被视为计算处理设备的支柱,GPU则用于处理实时图形。然而,近来备受瞩目的是数据处理单元——DPU
    发表于 07-27 16:16 254次阅读
    为什么现有网络设施需要集成<b class='flag-5'>SmartNIC</b> / <b class='flag-5'>DPU</b>?

    如何使用FPGA来设计智能网卡(SmartNIC)?

    智能服务器适配器或智能网卡(SmartNIC)通过从服务器的CPU上卸载网络处理工作负载和任务,提高云端和私有数据中心中的服务器性能。
    发表于 07-27 09:11 931次阅读
    如何使用FPGA来设计智能网卡(<b class='flag-5'>SmartNIC</b>)?

    DPU,云厂商的节约成本的王牌

    ,各种架构的CPU不仅降低了功耗,还提升了效率,完美符合当下对绿色计算的追求。   DPU 真的能省钱吗 ?   虽然依据各大DPU厂商的说法,大规模使用DPU可以降低总成本,不过这一观点在过去以FPGA和ASIC主导的
    的头像 发表于 06-08 09:19 1702次阅读