0
  • 聊天消息
  • 系统消息
  • 评论与回复
登录后你可以
  • 下载海量资料
  • 学习在线课程
  • 观看技术视频
  • 写文章/发帖/加入社区
会员中心
创作中心

完善资料让更多小伙伴认识你,还能领取20积分哦,立即完善>

3天内不再提示

Vivado 设计输入纪事—RTL 设计输入

C29F_xilinx_inc 来源:赛灵思 作者:赛灵思 2022-02-16 16:21 次阅读
加入交流群
微信小助手二维码

扫码添加小助手

加入工程师交流群

注:本文转自赛灵思中文社区论坛,源文链接在此。本文原作者为XILINX工程师

以下为个人译文,仅供参考,如有疏漏之处,还请不吝赐教。

本篇博文是面向希望学习使用 Vivado 进行 FPGA 设计输入的新手的系列博文第一讲。

这些实践旨在为用户提供快速入门指导,帮助其简要了解工具流程原理。我们选择了一项非常简单的设计,便于读者理解流程中的不同步骤。

这些实践将按如下顺序展示:RTL 流程、基于 IP 的流程、基于 HLS 的流程、基于 IP integrator 的流程,最后是混用前述流程创建设计。

第一项实践是 RTL 流程。 每个步骤都包含截屏,以便用户自行尝试时参考。

步骤如下:

1.调用 Vivado。

poYBAGIMpuSAGSPYAADTnxfgDPo794.png

2.用户提供自己选择的工程名称(该工具使用的默认工程名称为 project_1),并提供工程创建路径,然后单击“下一步 (Next)”。

poYBAGIMpuSAGSPYAADTnxfgDPo794.png

3.默认情况下,该工具会选中“选择 RTL 工程 (Select RTL Project)”,然后单击“下一步 (Next)”。

poYBAGIMpuSAGSPYAADTnxfgDPo794.png

4. 该工具会提示您选择“Add Sources”以添加源文件。选择“添加文件 (Add Files)”,然后提供此处随附的 RTL 文件 (top.v)。

单击“下一步 (Next)”。

poYBAGIMpuiATdUtAACa5Gb2xmY151.png

以下提供了 RTL 的详细信息:

pYYBAGIMpuqAS1aHAADhF-IAkIo838.png

RTL 用于描述自由运行的简单 32 位计数器。当该计数器达到其最大值时,就会翻转触发器。此触发器连接到输出。

这样会使用另一个缓冲器 (IBUFDS) 来创建时钟差分对,其输出将在设计中使用。在下一节中,我们将讲解为何使用此缓冲器。

5. 您将看到“添加约束 (Add Constraints)”对话框,其中包含“添加 (Add Files)”选项。

选择“Add Files”,提供此处随附的 top.xdc,然后单击“Next”。

pYYBAGIMpuuAQJhiAACDA0JtVMw359.png

6.单击开发板选项卡,搜索 zcu102,然后选择此处显示的开发板(Zynq UltraScale+ ZCU102 评估板)。

单击“下一步 (Next)”。

poYBAGIMpu2AVS7pAAEFxM43emY110.png

7.在以下窗口中,单击“完成 (Finish)”。

8.在左侧,您将看到“生成比特流 (Generate Bitstream)”按钮。

单击此按钮。

pYYBAGIMpu-AQ1SbAABD3JCQ8NI025.png

9.这样将显示如下提示:

poYBAGIMpvCACPaQAADukalIHsI021.png

单击“是 (Yes)”。这样将弹出另一条提示,要求您启动运行。单击“OK”。

这样就会触发综合 (Synthesis),然后实现 (Implementation),并且将生成比特流。

实践至此完成。

XDC 文件内容:

XDC 文件包含:

create_clock -name clk_p -period 8 [get_ports clk_p]
set_property LOC G21 [get_ports clk_p]
set_property LOC AG14 [get_ports dout]

set_property IOSTANDARD LVCMOS18 [get_ports dout]
set_property IOSTANDARD DIFF_HSTL_I_18 [get_ports clk_p]

使用 IBUFDS 的原因:

使用 IBUFDS 的原因是因为我们选择的开发板需要差分时钟。

每块开发板都有其自己特定的管脚 LOC 及其支持的系统时钟频率。

此开发板支持 300Mhz 和 125Mhz,因此我们使用 125Mhz 和与之对应的管脚 LOC。输出连接至 LED,其 LOC 为 AG14。最后 2 条语句用于指定端口的 IOSTANDARD。

审核编辑:符乾江

声明:本文内容及配图由入驻作者撰写或者入驻合作网站授权转载。文章观点仅代表作者本人,不代表电子发烧友网立场。文章及其配图仅供工程师学习之用,如有内容侵权或者其他违规问题,请联系本站处理。 举报投诉
  • RTL
    RTL
    +关注

    关注

    1

    文章

    393

    浏览量

    62403
  • Vivado
    +关注

    关注

    19

    文章

    847

    浏览量

    70479
收藏 人收藏
加入交流群
微信小助手二维码

扫码添加小助手

加入工程师交流群

    评论

    相关推荐
    热点推荐

    IGBT的原理,输入输出和控制信号

    IGBT的原理,输入输出和控制信号
    的头像 发表于 12-06 06:38 1772次阅读

    利用vivado实现对e200_opensource 蜂鸟E203一代的仿真

    vivado的教程。 如果文章还有什么纰漏,希望大家指出,非常感谢。 2021.4.14 修改文章步骤没有问题, 不加system.v 单单加入e203的rtl代码也可以使用 附赠一个hbirdv2
    发表于 10-31 06:14

    一文详解IGBT IPM的控制输入

    控制引脚HINU、HINV、HINW分别对应高边IGBT的U相、V相、W相控制输入;LINU、LINV、LINW则分别对应低边IGBT的U相、V相、W相控制输入
    的头像 发表于 10-27 10:15 4085次阅读
    一文详解IGBT IPM的控制<b class='flag-5'>输入</b>

    win10环境下使用vivado生成.bit与.mcs文件

    。 3.Search框中输入200tfbg484-2,选择第一个,然后Next就创建好了。 4.添加rtl文件。将e203_hbirdv2工程中整个rtl文件夹复制到工程目录中,再将
    发表于 10-27 08:25

    如何解决将e203的rtl导入vivado后报语法错误的问题

    主要内容是介绍一下如何解决将e203的rtl导入vivado后,报语法错误的问题。 二、分享内容 如图所示,导入源码后跑仿真,会报语法错误。 这是因为这些文件里面有用system verilog
    发表于 10-24 09:49

    vcs和vivado联合仿真

    文件夹下输入仿真命令如下: ./tb_top.sh verdi -f filelist.f -ssf tb_top.fsdb 即可实现用vcs和verdi对rtl代码进行仿真。
    发表于 10-24 07:28

    详解FPGA的输入输出处理

    inout端口DataBus作为输出的时候值为DataOut,作为输入时为高阻态。
    的头像 发表于 10-15 10:42 1196次阅读
    详解FPGA的<b class='flag-5'>输入</b>输出处理

    AMD Vivado设计套件2025.1版本的功能特性

    随着 AMD Spartan UltraScale+ 系列现已投入量产,解锁其功能集的最快途径便是采用最新 AMD Vivado 工具版本( 2025.1 或更高版本)和全新操作指南资源。该集
    的头像 发表于 09-23 09:15 1219次阅读
    AMD <b class='flag-5'>Vivado</b>设计套件2025.1版本的功能特性

    vivado仿真时GSR信号的影响

    利用vivado进行设计xilinx FPGA时,写完设计代码和仿真代码后,点击run simulation(启动modelsim进行仿真)。
    的头像 发表于 08-30 14:22 1032次阅读
    <b class='flag-5'>vivado</b>仿真时GSR信号的影响

    如何设计具有并行接口的数字输入模块

    MAX22190 和 MAX22199 默认提供串行化数据,但在需要实时、低延迟或更高速度的系统中,最好为每个工业级数字输入通道提供电平转换的实时逻辑信号。这些工业级数字输入在基于SPI或引脚
    的头像 发表于 08-19 09:23 1244次阅读

    怎么选择合适的差分探头-输入电容

    输入电容在选购差分探头时,通常有输入电容大小参数一栏,以下是选择输入电容大小需要考虑以下的因素:被测信号的特征如信号带宽/上升时间。通常测高频信号需要极低的输入电容,且由于
    的头像 发表于 07-29 15:30 286次阅读
    怎么选择合适的差分探头-<b class='flag-5'>输入</b>电容

    密封低输入电流光耦合器 skyworksinc

    电子发烧友网为你提供()密封低输入电流光耦合器相关产品参数、数据手册,更有密封低输入电流光耦合器的引脚图、接线图、封装手册、中文资料、英文资料,密封低输入电流光耦合器真值表,密封低输入
    发表于 07-08 18:34
    密封低<b class='flag-5'>输入</b>电流光耦合器 skyworksinc

    高速密封低输入电流光耦合器 skyworksinc

    电子发烧友网为你提供()高速密封低输入电流光耦合器相关产品参数、数据手册,更有高速密封低输入电流光耦合器的引脚图、接线图、封装手册、中文资料、英文资料,高速密封低输入电流光耦合器真值表,高速密封低
    发表于 07-08 18:31
    高速密封低<b class='flag-5'>输入</b>电流光耦合器 skyworksinc

    MAX22191寄生供电数字输入技术手册

    MAX22191为符合IEC 61131-2标准的工业数字输入(DI)器件,将24V工业数字输入转换为2.4mA(典型值)电流,用于驱动光隔离器。MAX22191的电压门限和电流水平兼容1类和3类输入,最大程度降低功耗。MAX2
    的头像 发表于 05-21 13:48 626次阅读
    MAX22191寄生供电数字<b class='flag-5'>输入</b>技术手册

    Vivado HLS设计流程

    为了尽快把新产品推向市场,数字系统的设计者需要考虑如何加速设计开发的周期。设计加速主要可以从“设计的重用”和“抽象层级的提升”这两个方面来考虑。Xilinx 推出的 Vivado HLS 工具可以
    的头像 发表于 04-16 10:43 1357次阅读
    <b class='flag-5'>Vivado</b> HLS设计流程