0
  • 聊天消息
  • 系统消息
  • 评论与回复
登录后你可以
  • 下载海量资料
  • 学习在线课程
  • 观看技术视频
  • 写文章/发帖/加入社区
创作中心

完善资料让更多小伙伴认识你,还能领取20积分哦,立即完善>

3天内不再提示

在Vivado中怎么定制Strategy

FPGA技术驿站 来源:TeacherGaoFPGAHub 作者:TeacherGJ 2021-11-18 16:17 次阅读

Vivado提供了多种Synthesis策略和Implementation策略,用户可以直接使用这些策略。尤其是希望通过扫描策略的方式实现时序收敛时,直接使用这些预定义的策略会很方便快捷。本质上,策略之间的差异是一些选项的不同造成的。以Implementation为例,这些选项包括每个子步骤的directive值。VivadoImplementation包括7个子步骤:opt_design(设计优化)power_opt_design(布局前功耗优化)place_design(布局)power_opt_design(布局后功耗优化)phys_opt_design(布局后物理优化)route_design(布线)phys_opt_design(布线后物理优化)每个子步骤都有一些共同的选项:tcl.pre、tcl.post、directive和More options,有些选项还有is_enabled。这些选项不同值的组合就构成了Strategy之间的差异。在此基础上,Vivado还提供了用户定制策略,以满足不同需求。那么,如何生成用户定制的策略呢?我们以Implementation为例说明(同样的方法也适用于Synthesis)。

第一步:打开Vivado工程设置选项Flow Navigator下 -》 Project Manager -》 Settings,会显示如下图所示界面。在Tool Settings下,选中Run Strategies,即图中标记1,同时将Flow切换为Vivado Implementation 2021,即图中标记2。

第二步:创建策略可以直接创建一个新策略,也可以复制一个已有的策略并重新命名,然后再修改相应的选项。上图中点击红色方框即可创建一个新策略,会弹出如下图所示对话。

第三步:设置相应选项在上一步的基础上,Vivado会显示如下界面,这时我们的主要工作区域就是图中红色方框标记的区域。可以在这里设置哪些子步骤是is_enabled,还可以设置子步骤的directive,或者在More Options里添加其他选项。

至此,创建策略的步骤就完成了。创建一个新的Design Run,就可以使用这个新建的Strategy,如下图所示。很多工程师通常是将某个Implementation Run的Strategy设置为预定义策略,然后修改相应的选项。可以看到策略名会被添加一个星号,意味着这个策略的选项被改动。这样做并不利用对比和复用。

责任编辑:haq

声明:本文内容及配图由入驻作者撰写或者入驻合作网站授权转载。文章观点仅代表作者本人,不代表电子发烧友网立场。文章及其配图仅供工程师学习之用,如有内容侵权或者其他违规问题,请联系本站处理。 举报投诉
  • Strategy
    +关注

    关注

    0

    文章

    11

    浏览量

    8007
  • 工程
    +关注

    关注

    0

    文章

    165

    浏览量

    27566
  • Vivado
    +关注

    关注

    18

    文章

    790

    浏览量

    65093

原文标题:Vivado下如何定制Strategy?

文章出处:【微信号:Lauren_FPGA,微信公众号:FPGA技术驿站】欢迎添加关注!文章转载请注明出处。

收藏 人收藏

    评论

    相关推荐

    FPGA实现基于Vivado的BRAM IP核的使用

    定制的RAM资源,有着较大的存储空间,且在日常的工程中使用较为频繁。BRAM以阵列的方式排布于FPGA的内部,是FPGA实现各种存储功能的主要部分,是真正的双读/写端口的同步的RAM。 本片
    的头像 发表于 12-05 15:05 518次阅读

    Vivado设计流程指导手册

    Vivado 设计分为 Project Mode 和 Non-project Mode 两种模式,一般简单设计,我们常用的是 Project Mode。本手册,我们将以一个简单的
    发表于 09-20 07:37

    vivado使用误区与进阶资料

    想到要写这一系列关于工具和方法学的小文章是半年多前,那时候Vivado®已经推出两年,陆续也接触了不少客户和他们的设计。我所在的部门叫做“Tools & Methodology
    发表于 09-20 06:31

    Vivado设计套件用户:使用Vivado IDE的指南

    电子发烧友网站提供《Vivado设计套件用户:使用Vivado IDE的指南.pdf》资料免费下载
    发表于 09-13 15:25 5次下载
    <b class='flag-5'>Vivado</b>设计套件用户:使用<b class='flag-5'>Vivado</b> IDE的指南

    Vivado使用指南

    TakeVideos:快速使用的视频连接口,关联到DocNav,并筛选出Vivado使用的一些教学视频;Release NotesGuide:DocNav打开Vivado rel
    发表于 09-06 17:55

    vivado修改了DTCM的大小后,如何在nuclei studio对应地修改内存的大小?

    请问vivado修改了DTCM的大小后,如何在nuclei studio 对应地修改内存的大小?
    发表于 08-16 06:54

    E203vivado上的仿真运行,pc值为0如何解决?

    新人小白求助各位大佬,vivado配置了e203项目,但是仿真阶段发现,PC值直到仿真结束都是0,x3寄存器的值为X,但是ITCM
    发表于 08-12 07:56

    NICE_demo生成.verilog后VIVADO仿真不正确如何解决?

    NECLEI STUDIO中生成了.verilog,之后VIVADO读取,仿真,没有输出相应的计算结果,而是ITCM的一些数据,如下图。 请问如果想让它输出正常计算结果应该如何
    发表于 08-12 06:58

    vivado对示例代码进行仿真,为什么协处理器的nice_req_valid等信号一直是0?

    vivado对示例代码进行仿真,可是协处理器的nice_req_valid等信号一直是0,请问是什么原因?
    发表于 08-11 06:37

    如何实现基于FPGA Vivado的74系列IP封装呢?

    双击桌面图标打开Vivado 2017.2,或者选择开始>所有程序>Xilinx Design Tools> Vivado 2017.2>Vivado 2017.2;
    发表于 07-30 09:39 444次阅读
    如何实现基于FPGA <b class='flag-5'>Vivado</b>的74系列IP封装呢?

    vivado仿真流程

    vivado开发软件自带了仿真工具,下面将介绍vivado的仿真流程,方便初学者进行仿真实验。
    的头像 发表于 07-18 09:06 2541次阅读
    <b class='flag-5'>vivado</b>仿真流程

    用 TCL 定制 Vivado 设计实现流程

    今天推出Xilinx已发布的《Vivado使用误区与进阶》系列:用TCL定制Vivado设计实现流程。 上一篇《Tcl Vivado
    发表于 06-28 19:34

    如何在Vivado中添加时序约束呢?

    今天介绍一下,如何在Vivado中添加时序约束,Vivado添加约束的方法有3种:xdc文件、时序约束向导(Constraints Wizard)、时序约束编辑器(Edit Timing Constraints )
    的头像 发表于 06-26 15:21 2227次阅读
    如何在<b class='flag-5'>Vivado</b>中添加时序约束呢?

    Vivado中实现ECO功能

    关于 Tcl 在 Vivado中的应用文章从 Tcl 的基本语法和在 Vivado 中的 应用展开,继上篇《用 Tcl 定制 Vivado 设计实现流程》介绍了如何扩展甚 至是
    的头像 发表于 05-05 15:34 1796次阅读
    在<b class='flag-5'>Vivado</b>中实现ECO功能

    用TCL定制Vivado设计实现流程

    今天推出Xilinx已发布的《Vivado使用误区与进阶》系列:用TCL定制Vivado设计实现流程。
    的头像 发表于 05-05 09:44 725次阅读
    用TCL<b class='flag-5'>定制</b><b class='flag-5'>Vivado</b>设计实现流程