0
  • 聊天消息
  • 系统消息
  • 评论与回复
登录后你可以
  • 下载海量资料
  • 学习在线课程
  • 观看技术视频
  • 写文章/发帖/加入社区
创作中心

完善资料让更多小伙伴认识你,还能领取20积分哦,立即完善>

3天内不再提示

Windows下Modelsim安装步骤

FPGA设计指南 来源:FPGA设计指南 作者:Geron 2021-11-09 09:24 次阅读

1. 软件基本介绍 软件基本介绍 :

Modelsim 是 Model Technology(Mentor Graphics 的子公司)的 HDL 硬件描述语言的仿真软件,该软件可以用来实现对设计的 VHDL、Verilog HDL 或是两种语言混合的程序进行仿真,同时也支持 IEEE 常见的各种硬件描述语言标准。

无论是从使用界面和调试环境,还是从仿真速度和效果上看,ModelSim 都

可以算的上是业界比较优秀的 HDL 语言仿真软件。它是唯一的单内核支持

VHDL 和 Verilog HDL 混合仿真的仿真器,是做 FPGA/ASIC 设计的 RTL 级和门级电路仿真的好选择,它采用直接优化的编译技术,Tcl/Tk 技术和单一内核仿真技术,具有仿真速度快,编译的代码与仿真平台无关,便于 IP 核的保护和加快错误程序定位等优点。

2. Modlesim 软件安装

2.1 Modelsim 软件安装

第一步以管理员身份运行如下安装程序

593fd328-4056-11ec-9195-dac502259ad0.png

第二步按照截图设置安装选项

设置安装目录

5998cec4-4056-11ec-9195-dac502259ad0.png

同意安装即可

59f844d0-4056-11ec-9195-dac502259ad0.png

两个弹窗点击确认

5a62cd28-4056-11ec-9195-dac502259ad0.png

安装硬件 KEY 选择“否”,这里不用安装

5aeed11a-4056-11ec-9195-dac502259ad0.png

安装完成

5b55fc32-4056-11ec-9195-dac502259ad0.png

2.2 modelsim 软件破解

复制如下文件到-》modelsim 安装目录 win64 下

5bc15680-4056-11ec-9195-dac502259ad0.png

双击运行 patch64_dll.bat

跳出如下 license.txt 文件

5c23d134-4056-11ec-9195-dac502259ad0.png

点击文件另存为一个中文目录下,记住这个目录

我这里另存到如下目录

5c8ed3f8-4056-11ec-9195-dac502259ad0.png

保存后,关闭文本文件和 CMD 窗口

2.3 设置环境变量

右键我的电脑-》属性-》高级系统设置-》环境变量-》用户环境变量设置如

下值(变量值要设置自己的 LICENSE 安装目录)

5cf34144-4056-11ec-9195-dac502259ad0.png

2.4 启动 Modelsim 测试是否安装成功

打开开始-》运行-》CMD 命令行 输入 vsim

如果弹出 modelsim 工具并且没有提示 license 错误表示破解成功

5d2cec6e-4056-11ec-9195-dac502259ad0.png

编辑:jq

声明:本文内容及配图由入驻作者撰写或者入驻合作网站授权转载。文章观点仅代表作者本人,不代表电子发烧友网立场。文章及其配图仅供工程师学习之用,如有内容侵权或者其他违规问题,请联系本站处理。 举报投诉
  • WINDOWS
    +关注

    关注

    3

    文章

    3440

    浏览量

    87144
  • 仿真器
    +关注

    关注

    14

    文章

    988

    浏览量

    82995
  • HDL
    HDL
    +关注

    关注

    8

    文章

    323

    浏览量

    47104
  • ModelSim
    +关注

    关注

    5

    文章

    172

    浏览量

    46757

原文标题:(二)Windos下Modelsim安装

文章出处:【微信号:gjl_1130443995,微信公众号:FPGA设计指南】欢迎添加关注!文章转载请注明出处。

收藏 人收藏

    评论

    相关推荐

    ISE 关联 Modelsim 详细操作

    modelsim.ini文件。 然后打开这个文件,从47行到274行,全部复制一,然后在Modelsim安装目录下,找到modelsim
    发表于 03-22 18:55

    最实用的Modelsim使用教程

    Testbench,即测试台文件。下面先讲一Testbench的产生方法。 方法一:我们可以在modelsim内直接编写Testbench,而且modelsim还提供了常用的各种模板。具体
    发表于 03-19 16:40

    modelsim安装运行patch闪退

    模拟器的安装和运行是计算机科学中非常重要的一环。ModelSim是一种流行的数字电子设计自动化工具,用于验证和仿真硬件设计。然而,有时安装和运行Patch可能会导致闪退问题。本文将详细解释如何
    的头像 发表于 01-04 10:43 525次阅读

    光伏逆变器安装接线步骤

    光伏逆变器是将直流能源转换为交流能源的装置,常用于太阳能发电系统中。正确安装光伏逆变器对于系统的运行效率和安全性都非常重要。下面将详细介绍光伏逆变器的安装接线步骤,以确保正确连接和操作。 第一步
    的头像 发表于 12-18 11:26 5494次阅读

    怎样单独使用modelsim仿真xilinx呢?

    直接在modelsim软件内执行.do文件进行仿真,不通过vivado调用modelsim,vivado仅用于生成IP核。
    的头像 发表于 12-04 18:26 591次阅读
    怎样单独使用<b class='flag-5'>modelsim</b>仿真xilinx呢?

    安装Linux后windows在哪启动

    安装 Linux 后,Windows 的启动位置由原先的默认位置(通常是硬盘的第一个分区)改变为 GRUB 启动加载器。GRUB(GNU GRand Unified Bootloader
    的头像 发表于 11-28 15:02 512次阅读

    Windows下编译工具CMake的安装和最简使用

    (Autosar工程已经很复杂,一般使用CMake来构建工程)。本文将介绍Windows下编译工具CMake的安装和最简使用。
    的头像 发表于 11-14 10:18 1625次阅读
    <b class='flag-5'>Windows</b>下编译工具CMake的<b class='flag-5'>安装</b>和最简使用

    Windows11上Linux安装教程

    超简单,不安装虚拟机,Windows11运行Linux。
    发表于 10-24 15:11 379次阅读
    <b class='flag-5'>Windows</b>11上Linux<b class='flag-5'>安装</b>教程

    如何用Python实现Vivado和ModelSim仿真自动化?

    我们在Windows系统下使用Vivado的默认设置调用第三方仿真器比如ModelSim进行仿真时,一开始仿真软件都会默认在波形界面中加载testbench顶层的信号波形
    的头像 发表于 09-13 09:23 761次阅读
    如何用Python实现Vivado和<b class='flag-5'>ModelSim</b>仿真自动化?

    Windows11 wsl2安装Ubuntu-20.04

    Windows11 wsl2安装Ubuntu-20.04
    的头像 发表于 08-16 10:04 612次阅读
    <b class='flag-5'>Windows</b>11 wsl2<b class='flag-5'>安装</b>Ubuntu-20.04

    vivado软件和modelsim软件的安装方法

    本文详细介绍了vivado软件和modelsim软件的安装,以及vivado中配置modelsim仿真设置,每一步都加文字说明和图片。
    的头像 发表于 08-07 15:48 1718次阅读
    vivado软件和<b class='flag-5'>modelsim</b>软件的<b class='flag-5'>安装</b>方法

    穆柯安全光幕安装步骤

    穆柯安全光幕安装步骤  (安全光幕 安全光栅 光电保护装置 冲床保护器)     穆柯的安全光幕怎么安装的?安全光幕的安装步骤是怎么样的呢?
    的头像 发表于 07-28 11:05 475次阅读

    Vivado调用Modelsim仿真

    Modelsim是十分常用的外部仿真工具,在Vivado中也可以调用Modelsim进行仿真,下面将介绍如何对vivado进行配置并调用Modelsim进行仿真,在进行仿真之前需要提前安装
    的头像 发表于 07-24 09:04 2009次阅读
    Vivado调用<b class='flag-5'>Modelsim</b>仿真

    MES50HP——PDS与Modelsim联合仿真教程

    Location】:编译出来的库的位置,默认是在当前工程下面;可以把编译库放在一个固定位置。 【Simulator Executable Path】:选择 ModelSim 运行路径(ModelSim 安装
    发表于 06-26 10:45

    【正点原子DFPGL22G开发板体验】ModelSim安装和使用 FPGA开发仿真

    1. Modelsim安装Modelsim 有几种常见的版本:SE(System Edition)、PE(Personal Edition)和 OEM(Orignal
    发表于 04-30 17:24