0
  • 聊天消息
  • 系统消息
  • 评论与回复
登录后你可以
  • 下载海量资料
  • 学习在线课程
  • 观看技术视频
  • 写文章/发帖/加入社区
创作中心

完善资料让更多小伙伴认识你,还能领取20积分哦,立即完善>

3天内不再提示

UltraFast设计方法时序收敛快捷参考指南

Xilinx赛灵思官微 来源:Xilinx赛灵思官微 作者:Xilinx 2021-11-05 15:10 次阅读

《UltraFast 设计方法时序收敛快捷参考指南》提供了以下分步骤流程, 用于根据《UltraFast设计方法指南》( UG949 )中的建议快速完成时序收敛:

1初始设计检查:在实现设计前审核资源利用率、逻辑层次和时序约束。

2时序基线设定:在每个实现步骤后检查并解决时序违例,从而帮助布线后收敛时序。

3时序违例解决:识别建立时间违例或保持时间违例的根源,并解决时序违例。

01

初始设计检查详细介绍

赛灵思器件上实现设计,是一个自动化程度相当高的任务。但是,要实现更高的性能、解决时序或布线违例带来的编译问题,则是一项复杂且耗时的工作。仅根据简单的日志消息或由工具生成的实现后时序报告,可能难以明确失败原因。因此,按步骤进行设计开发和编译非常必要,其中就包括复查中间结果,以确保设计能继续执行下一个实现步骤。

第一步是确保所有的初始设计检查都已经完成。在下列层次复查检查结果:

由定制 RTL 构成或者由 Vivado HLS 生成的每个内核。注意:检查目标时钟频率约束是否现实。

与子系统逐一对应的每个主要层级,例如有多个内核、IP 块和连接逻辑的 Vivado IP integrator 模块框图。

包括所有主要功能和层级、I/O 接口、完整时钟电路、物理约束和时序约束的完整设计。

如果设计使用布局规划约束, 如超级逻辑区域( SLR )分配或分配给 Pblock 的逻辑,请复查每项物理约束的估算的资源利用率,确保符合资源利用率准则。

02

时序基线设定示例

时序基线设定的目的是,通过在每个实现步骤后分析和解决时序问题,确保设计满足时序要求。在编译流程中,尽早纠正设计问题和约束问题能实现更高性能,并带来更广泛的影响。通过创建如下中间报告,在进入下一步前复查并解决时序违例:

05412210-3e06-11ec-82a9-dac502259ad0.png

03

分析建立时间违例流程

设计性能主要由三个因素决定:一、时钟偏差与时钟不确定性,主要包括时钟如何高效实现;二、逻辑延迟所涉及到每个时钟周期内遍历的逻辑量;三、信号线延迟或布线延迟,如Vivado 如何高效实现设计的布局布线。

使用时序路径或设计分析报告内的信息,有助于识别时序违例主要由哪些因素引发,以及确定如何迭代提升 QoR。

(提示:如果需要,可在每个步骤后打开 DCP 以生成更多报告,详细流程请查看完整版指南。)

04

解决保持时间违例的方法

避免保持时间要求为正值

在使用多周期路径约束放宽建立时间检查时,需做到:

调整同一路径上的保持时间检查,以便在保持时间分析中使用相同的发送沿和捕获沿。否则会导致保持时间要求(一个或多个时钟周期)为正值,无法实序收敛。

指定端点管脚, 而不仅仅是单元或时钟。例如, 端点单元REGB有三个输入管脚:C、EN 和 D。只有 REGB/D 管脚需交由多周期路径例外来约束(时钟使能(EN)管脚不用),因为 EN 管脚在每个时钟周期都会发生改变。如果将约束连接至单元而不是管脚,那么所有有效的端点管脚(包括 EN 管脚)都在约束的考虑范围内。

在布线前降低 WHS 和 THS

严重的估算保持时间违例会增大布线难度, 且并非总能通过route_design解决。布局后phys_opt_design 命令提供多种保持时间修复选项:

在时序元件之间插入反向边缘触发寄存器会将时序路径分为两条半周期路径,从而显著减少保持时间违例。这种最优化只能在不劣化建立时序的情况下执行。

插入 LUT 1 缓冲器会导致数据路径延迟, 这样即可减少保持时间违例,而不会造成建立时间违例。

责任编辑:haq

声明:本文内容及配图由入驻作者撰写或者入驻合作网站授权转载。文章观点仅代表作者本人,不代表电子发烧友网立场。文章及其配图仅供工程师学习之用,如有内容侵权或者其他违规问题,请联系本站处理。 举报投诉
  • Xilinx
    +关注

    关注

    70

    文章

    2121

    浏览量

    119374
  • 时序设计
    +关注

    关注

    0

    文章

    21

    浏览量

    43899

原文标题:UltraFast 设计方法时序收敛参考指南

文章出处:【微信号:赛灵思,微信公众号:Xilinx赛灵思官微】欢迎添加关注!文章转载请注明出处。

收藏 人收藏

    评论

    相关推荐

    FPGA时序收敛学习报告

    方法一般有四个步骤:时序分析→时序约束→时序报告→时序收敛。 为什么要进行
    发表于 09-23 10:26

    用于Vivado设计套件的 UltraFast设计方法指南

    用于Vivado设计套件的 UltraFast设计方法指南介绍推荐的设计方法,以实现Xilinx®FPGA器件资源的高效利用,以及Vivado®Design Suite中更快速的设计实
    发表于 11-15 10:32

    请问如何收敛高速ADC时序

    如何收敛高速ADC时序?有哪种办法可以最大化ADC的建立和保持时间?
    发表于 04-14 06:06

    有哪些方法可以解决时序收敛的问题?

    什么是时序收敛?如何去解决物理设计中时序收敛的问题?
    发表于 04-26 06:38

    了解最新的UltraFast设计方法窍门与技巧

    更新版   UltraFast™  设计方法指南   提供的最新内容可加速提升您的生产力,包括:源文件管理与版本控制建议、 I/O  计划设计流程与电路板/器件规划,以及时序
    发表于 02-08 20:43 197次阅读

    fpga时序收敛

    fpga时序收敛
    发表于 03-01 13:13 23次下载

    一文知道UltraFast嵌入式设计方法指南

    本次接着看下《UltraFast 嵌入式设计方法指南》中关于硬件设计方面的内容,主要分3部分:硬件设计需要考虑的事项、设计流程及个人总结。
    的头像 发表于 06-28 09:24 2320次阅读

    FPGA时序收敛让你的产品达到最佳性能!

    FPGA时序收敛让你的产品达到最佳性能!
    发表于 04-10 11:38 18次下载
    FPGA<b class='flag-5'>时序</b><b class='flag-5'>收敛</b>让你的产品达到最佳性能!

    用于定时关闭的UltraFast Vivado设计方法

    本培训中概述的方法将使您能够实现时序收敛的“签核”质量XDC约束。 无论复杂程度如何,这种方法还可以使您更快地实现时序
    的头像 发表于 11-29 06:53 3176次阅读
    用于定时关闭的<b class='flag-5'>UltraFast</b> Vivado设计<b class='flag-5'>方法</b>

    从已布线设计中提取模块用于评估时序收敛就绪状态

    本文旨在提供一种方法,以帮助设计师判断给定模块是否能够在空裸片上达成时序收敛。 如果目标模块无法在空裸片上达成非关联 (OOC) 时序收敛
    发表于 08-02 11:37 350次阅读
    从已布线设计中提取模块用于评估<b class='flag-5'>时序</b><b class='flag-5'>收敛</b>就绪状态

    UltraFast Vivado HLS方法指南

    电子发烧友网站提供《UltraFast Vivado HLS方法指南.pdf》资料免费下载
    发表于 09-13 11:23 1次下载
    <b class='flag-5'>UltraFast</b> Vivado HLS<b class='flag-5'>方法</b><b class='flag-5'>指南</b>

    UltraFAST设计方法指南(适用于Vivado Design Suite)

    电子发烧友网站提供《UltraFAST设计方法指南(适用于Vivado Design Suite).pdf》资料免费下载
    发表于 09-13 10:18 0次下载
    <b class='flag-5'>UltraFAST</b>设计<b class='flag-5'>方法</b><b class='flag-5'>指南</b>(适用于Vivado Design Suite)

    UltraFast设计方法时序收敛快捷参考指南(UG1292)

    电子发烧友网站提供《UltraFast设计方法时序收敛快捷参考指南(UG1292).pdf》资料
    发表于 09-15 10:38 0次下载
    <b class='flag-5'>UltraFast</b>设计<b class='flag-5'>方法</b><b class='flag-5'>时序</b><b class='flag-5'>收敛</b><b class='flag-5'>快捷</b>参考<b class='flag-5'>指南</b>(UG1292)

    UltraFast高层次生产力设计方法指南

    电子发烧友网站提供《UltraFast高层次生产力设计方法指南.pdf》资料免费下载
    发表于 09-15 10:41 0次下载
    <b class='flag-5'>UltraFast</b>高层次生产力设计<b class='flag-5'>方法</b><b class='flag-5'>指南</b>

    UltraFAST设计方法指南(适用于Vivado Design Suite)

    电子发烧友网站提供《UltraFAST设计方法指南(适用于Vivado Design Suite).pdf》资料免费下载
    发表于 09-15 09:56 1次下载
    <b class='flag-5'>UltraFAST</b>设计<b class='flag-5'>方法</b><b class='flag-5'>指南</b>(适用于Vivado Design Suite)