0
  • 聊天消息
  • 系统消息
  • 评论与回复
登录后你可以
  • 下载海量资料
  • 学习在线课程
  • 观看技术视频
  • 写文章/发帖/加入社区
创作中心

完善资料让更多小伙伴认识你,还能领取20积分哦,立即完善>

3天内不再提示

如何使用FPGA内部的RAM以及程序对该RAM的数据读写操作

电子设计 来源:电子设计 作者:电子设计 2022-02-08 15:50 次阅读

作者: ALINX

适用于板卡型号:

AXU2CGA/AXU2CGB/AXU3EG/AXU4EV-E/AXU4EV-P/AXU5EV-E/AXU5EV-P /AXU9EG/AXU15EG

实验Vivado工程为“ram_test”。

RAM是FPGA中常用的基础模块,可广泛用于缓存数据的情况,同样它也是ROM,FIFO的基础。本实验将为大家介绍如何使用FPGA内部的RAM以及程序对该RAM的数据读写操作。

1.实验原理

Xilinx在VIVADO里为我们已经提供了RAM的IP核, 我们只需通过IP核例化一个RAM,根据RAM的读写时序来写入和读取RAM中存储的数据。实验中会通过VIVADO集成的在线逻辑分析仪ila,我们可以观察RAM的读写时序和从RAM中读取的数据。

2.创建Vivado工程

在添加RAM IP之前先新建一个ram_test的工程, 然后在工程中添加RAM IP,方法如下:

2.1 点击下图中IP Catalog,在右侧弹出的界面中搜索ram,找到Block Memory Generator,双击打开。

pIYBAGAJnVSAeGXsAACSoVXg6Dw420.jpg

2.2 将Component Name改为ram_ip,在Basic栏目下,将Memory Type改为Simple Dual Prot RAM,也就是伪双口RAM。一般来讲“Simple Dual Port RAM”是最常用的,因为它是两个端口,输入和输出信号独立。

pIYBAGAJnZuAVsL5AABpBCf6DhU423.jpg

2.3 切换到Port A Options栏目下,将RAM位宽Port A Width改为16,也就是数据宽度。将RAM深度Port A Depth改为512,深度指的是RAM里可以存放多少个数据。使能管脚Enable Port Type改为Always Enable。

pIYBAGAJndmAXtQeAABgeo57cv8714.jpg

2.4 切换到Port B Options栏目下,将RAM位宽Port B Width改为16,使能管脚Enable Port Type改为Always Enable,当然也可以Use ENB Pin,相当于读使能信号。而Primitives Output Register取消勾选,其功能是在输出数据加上寄存器,可以有效改善时序,但读出的数据会落后地址两个周期。很多情况下,不使能这项功能,保持数据落后地址一个周期。

o4YBAGAJnhiAEL0PAABmHquA1Ig967.jpg

2.5 在Other Options栏目中,这里不像ROM那样需要初始化RAM的数据,我们可以在程序中写入,所以配置默认即可,直接点击OK。

2.6 点击“Generate”生成RAM IP。

o4YBAGAJnpqAFxmeAABUFHhi0Pg928.jpg

3. RAM的端口定义和时序

Simple Dual Port RAM 模块端口的说明如下:

o4YBAGAJntiAYfwHAAArNPYwkKo166.png

RAM的数据写入和读出都是按时钟的上升沿操作的,端口A数据写入的时候需要置高wea信号,同时提供地址和要写入的数据。下图为输入写入到RAM的时序图。

pIYBAGAJnxaAbe8SAABg5Avs_LU169.jpg

而端口B是不能写入数据的,只能从RAM中读出数据,只要提供地址就可以了,一般情况下可以在下一个周期采集到有效的数据。

pIYBAGAJn1SAGauYAABXxpG3o8s362.jpg

RAM读时序

4. 测试程序编写

下面进行RAM的测试程序的编写,由于测试RAM的功能,我们向RAM的端口A写入一串连续的数据,只写一次,并从端口B中读出,使用逻辑分析仪查看数据。代码如下

`timescale1ns/1ps ////////////////////////////////////////////////////////////////////////////////// module ram_test( input clk, //25MHz时钟 input rst_n //复位信号,低电平有效 ); //----------------------------------------------------------- reg [8:0] w_addr; //RAM PORTA写地址 reg [15:0] w_data; //RAM PORTA写数据 reg wea; //RAM PORTA使能 reg [8:0] r_addr; //RAM PORTB读地址 wire [15:0] r_data; //RAM PORTB读数据 //产生RAM PORTB读地址 always@(posedge clk ornegedge rst_n) begin if(!rst_n) r_addr 《=9‘d0; elseif(|w_addr) //w_addr位或,不等于0 r_addr 《= r_addr+1’b1; else r_addr 《=9‘d0; end //产生RAM PORTA写使能信号 always@(posedge clk ornegedge rst_n) begin if(!rst_n) wea 《=#11’b0; else begin if(&w_addr)//w_addr的bit位全为1,共写入512个数据,写入完成 wea 《=#11‘b0; else wea 《=#11’b1;//ram写使能 end end //产生RAM PORTA写入的地址及数据 always@(posedge clk ornegedge rst_n) begin if(!rst_n) begin w_addr 《=9‘d0; w_data 《=16’d1; end else begin if(wea) //ram写使能有效 begin if(&w_addr)//w_addr的bit位全为1,共写入512个数据,写入完成 begin w_addr 《= w_addr ;//将地址和数据的值保持住,只写一次RAM w_data 《= w_data ; end else begin w_addr 《= w_addr +1‘b1; w_data 《= w_data +1’b1; end end end end //----------------------------------------------------------- //实例化RAM ram_ip ram_ip_inst ( .clka (clk ),// input clka .wea (wea ),// input [0 : 0] wea .addra (w_addr ),// input [8 : 0] addra .dina (w_data ),// input [15 : 0] dina .clkb (clk ),// input clkb .addrb (r_addr ),// input [8 : 0] addrb .doutb (r_data )// output [15 : 0] doutb ); //实例化ila逻辑分析仪 ila_0 ila_0_inst ( .clk (clk ), .probe0 (r_data ), .probe1 (r_addr ) ); endmodule

为了能实时看到RAM中读取的数据值,我们这里添加了ila工具来观察RAM PORTB的数据信号和地址信号。关于如何生成ila大家请参考”PL的”Hello World”LED实验”。

o4YBAGAJn5KANr5FAAAfFZ8Eu00006.jpg

程序结构如下:

o4YBAGAJn9CAEDn5AAA8UDCQZl0058.jpg

绑定引脚

##################Compress Bitstream############################

set_property BITSTREAM.GENERAL.COMPRESS TRUE [current_design]set_property PACKAGE_PIN AB11 [get_ports clk]set_property IOSTANDARD LVCMOS33 [get_ports clk]create_clock -period 40.000 -name clk -waveform {0.000 20.000} [get_ports clk]set_property PACKAGE_PIN AA13 [get_ports rst_n]set_property IOSTANDARD LVCMOS33 [get_ports rst_n]

5. 仿真

仿真方法参考”PL的”Hello World”LED实验”,仿真结果如下,从图中可以看出地址1写入的数据是0002,在下个周期,也就是时刻2,有效数据读出。

6. 板上验证

生成bitstream,并下载bit文件到FPGA。接下来我们通过ila来观察一下从RAM中读出的数据是否为我们初始化的数据。

在Waveform的窗口设置r_addr地址为0作为触发条件,我们可以看到r_addr在不断的从0累加到1ff, 随着r_addr的变化, r_data也在变化, r_data的数据正是我们写入到RAM中的512个数据,这里需要注意,r_addr出现新地址时,r_data对应的数据要延时两个时钟周期才会出现,数据比地址出现晚两个时钟周期,与仿真结果一致。

审核编辑:何安

声明:本文内容及配图由入驻作者撰写或者入驻合作网站授权转载。文章观点仅代表作者本人,不代表电子发烧友网立场。文章及其配图仅供工程师学习之用,如有内容侵权或者其他违规问题,请联系本站处理。 举报投诉
  • RAM
    RAM
    +关注

    关注

    7

    文章

    1321

    浏览量

    113705
收藏 人收藏

    评论

    相关推荐

    fpga双口ram的使用

    FPGA双口RAM的使用主要涉及配置和使用双端口RAM模块。双端口RAM的特点是有两组独立的端口,可以对同一存储块进行读写
    的头像 发表于 03-15 13:58 211次阅读

    使用分散加载将部分程序放到RAMRAM掉电后数据就没有了,如何复原?

    我使用分散加载将部分程序放到RAMRAM掉电后数据就没有了,重新上电后,芯片是如何将RAM程序
    发表于 03-06 07:01

    IC设计:ram的折叠设计操作步骤

    在IC设计中,我们有时会使用深度很大,位宽很小的ram。例如深度为1024,位宽为4bit的ram
    的头像 发表于 03-04 15:08 1778次阅读
    IC设计:<b class='flag-5'>ram</b>的折叠设计<b class='flag-5'>操作</b>步骤

    ram内部存储器电路组成

    ram在计算机和数字系统中用来暂时存储程序数据和中间结果。随机存取存储器(ram)既可向指定单元存入信息又可从指定单元读出信息。
    的头像 发表于 02-19 11:23 729次阅读
    <b class='flag-5'>ram</b><b class='flag-5'>内部</b>存储器电路组成

    ram和rom的作用和区别是什么

    将详细探讨RAM和ROM的作用和区别。 一、RAM的作用: 临时存储:RAM被用作临时存储器,用于计算机处理数据程序时的工作区域。当计算机
    的头像 发表于 02-04 17:05 1747次阅读

    RAM和ROM的区别,哪个与CPU连接

    数据和指令,而ROM则用于存储计算机的基本操作系统和启动程序。本文将探讨RAM和ROM的区别,以及它们与CPU之间的连接方式。 首先,我们来
    的头像 发表于 01-31 14:14 691次阅读

    ram中存储的数据在断电后是否会丢失?

    篇文章中将详细讨论RAM的工作原理以及为什么它会丢失数据。 一、RAM的工作原理 随机存取存储器(RAM)是一种常见的计算机内存类型,用于临
    的头像 发表于 01-16 16:30 1762次阅读

    一文介绍ram的结构和读写过程

    ram也叫主存,是与CPU直接交换数据内部存储器。它可以随时读写(刷新时除外)且速度很快,通常作为操作系统或其他正在运行中的
    发表于 01-09 11:11 933次阅读
    一文介绍<b class='flag-5'>ram</b>的结构和<b class='flag-5'>读写</b>过程

    FPGA中块RAM的分布和特性

    在选择FPGA时,关注LUT(Look-Up Table)和BRAM(Block RAM)是非常重要的,因为它们是FPGA架构中的两个核心资源,对于设计的性能和资源利用至关重要。
    的头像 发表于 11-21 15:03 663次阅读
    <b class='flag-5'>FPGA</b>中块<b class='flag-5'>RAM</b>的分布和特性

    IC设计中关于ram的应用

    统计有效数据包的个数。 假设数据中存在pkt_id,pkt_id为0~63,则ram的深度为64。pkt_id用于作为读写地址。RAM读延时
    的头像 发表于 11-17 17:36 317次阅读
    IC设计中关于<b class='flag-5'>ram</b>的应用

    FPGA在一个时钟周期可以读取多个RAM数据吗?

    设计都涉及到对RAM读写操作。在FPGA芯片中,RAM也叫做存储块(Block RAM),可以
    的头像 发表于 10-18 15:28 710次阅读

    请问双口RAM能用来进行跨时钟域传输数据吗?

    请问双口RAM能用来进行跨时钟域传输数据吗? 双口RAM是一种用于在两个时钟域之间传输数据的存储器,因此它确实可以用于跨时钟域传输数据。在本
    的头像 发表于 10-18 15:24 553次阅读

    如何FPGA内部RAM进行读改写操作

    存储器是FPGA设计中的常用单元,对存储器的操作,最基础的就是读写操作,还有一种就是读改写操作,即先读出存储器中的
    的头像 发表于 09-28 16:53 1810次阅读
    如何<b class='flag-5'>FPGA</b><b class='flag-5'>内部</b>的<b class='flag-5'>RAM</b>进行读改写<b class='flag-5'>操作</b>

    FPGA RAM简介和使用案例

    FPGA 逻辑设计中经常用到的数据存储方式有ROM、RAM和FIFO,根据不同的应用场景选择不同的存储方式。Xilinx 平台三种存储方式在使用过程中的区别如下。
    的头像 发表于 08-22 16:12 1676次阅读
    <b class='flag-5'>FPGA</b> <b class='flag-5'>RAM</b>简介和使用案例

    FIFO和RAM,到底用哪个?

    FPGA的设计中的,内部的FIFO和RAM是两种非常常见的存储单元
    的头像 发表于 07-11 17:23 1029次阅读