0
  • 聊天消息
  • 系统消息
  • 评论与回复
登录后你可以
  • 下载海量资料
  • 学习在线课程
  • 观看技术视频
  • 写文章/发帖/加入社区
创作中心

完善资料让更多小伙伴认识你,还能领取20积分哦,立即完善>

3天内不再提示

浅谈带有异步抽取滤波器的音频模数转换

电子设计 来源:eeweb 作者:Cirrus Logic 2021-06-04 17:48 次阅读

本应用笔记将介绍带有异步抽取滤波器音频模数转换。它提出了转换过程对高频系统时钟的要求,并提出了这种音频转换的解决方案。

介绍

现代高性能 delta-sigma 模数 (A/D) 和数模 (D/A) 音频转换系统需要高频系统时钟(主时钟)用于其转换过程,通常超过 12 MHz .该时钟上的抖动是这些系统性能下降的一个重要来源。在包含转换器和基于晶体的时钟的产品中,这通常不是问题。然而,这在网络音频系统中可能是一个特别难以解决的问题,录音系统是转换节点和网络以采样率或在同一时钟域内运行。在这些系统中恢复低抖动主时钟的最常见方法是使用锁相环 (PLL)。PLL 电路有多种形式,各有优势。然而,

另一种方法是创建一个系统架构,其中 A/D 和 D/A 转换节点在独立于网络或系统时钟域的本地时钟域内运行。使用采样率转换器 (SRC) 可以轻松实现具有独立时钟域的系统,如图 1 所示。该架构还允许转换过程以固定采样率运行,该采样率始终高于网络或接口采样率。这种方法的基本优点是转换过程不受接口时钟抖动的影响,并由本地无抖动晶体振荡器控制。

poYBAGC59ZSADN_AAADbmm8fkIA434.png

具有独立时钟域的音频系统架构

CRD5381概述

CRD5381 是一种 4 通道参考设计,它结合了 CS5381 模数转换器和 CS8421 异步采样率转换器,以创建本应用笔记中所述的模数转换系统。CRD5381 本质上是一种 2 通道设计,其中已复制 2 通道模块以创建第 3 和第 4 通道。每个 2 通道部分包括所需的模拟输入缓冲器、CS5381 A/D 转换器和 CS8421 异步采样率转换器。该参考设计还包括时钟生成、状态报告和所需的系统复位功能。此外,双 CS8421 器件可以配置为 4 通道时分复用 (TDM) 接口。

编辑:hfy

声明:本文内容及配图由入驻作者撰写或者入驻合作网站授权转载。文章观点仅代表作者本人,不代表电子发烧友网立场。文章及其配图仅供工程师学习之用,如有内容侵权或者其他违规问题,请联系本站处理。 举报投诉
  • 模数转换器
    +关注

    关注

    25

    文章

    2299

    浏览量

    126010
  • 模数转换
    +关注

    关注

    1

    文章

    205

    浏览量

    36094
收藏 人收藏

    评论

    相关推荐

    一种性能良好的高效CIC抽取滤波器的设计

    针对传统CIC抽取滤波器性能和结构存在的问题,利用一个ISOP滤波器和余弦滤波器对CIC抽取滤波器
    发表于 06-02 10:07

    零基础学FPGA(三十三)多相结构抽取滤波器笔记

    ,使得它非常适合采样速率远远大于信号速率的情况时的抽取跟内插滤波器,同样,FIR半带滤波器的通阻带对称性使他适合于2倍抽取跟内插滤波器,如果
    发表于 08-29 15:37

    讲述Δ-Σ模数转换器数字滤波器最常用的正弦滤波器

    我在上篇博文中谈到Δ-Σ模数转换器(ADC)中常用的不同类型的数字滤波器。在这篇博文中,我会重点讲述Δ-Σ模数转换器中最常用的数字滤波器:正弦滤波器
    发表于 08-30 14:51

    Σ-Δ模数转换器之数字滤波器类型与用途

    您有没有想过Σ-Δ模数转换器(ADC)如何才能在不同带宽下获得如此高的分辨率?秘诀就在于数字滤波器。Σ-Δ ADC之所以与其他类型的数据转换器不同,是因为它们通常集成有数字滤波器。本系
    发表于 08-30 14:51

    CIC抽取滤波器MATLAB仿真和FPGA实现

    CIC抽取滤波器MATLAB仿真和FPGA实现(1)设计理想滤波器目标:1、滤波器在有效频段内纹波满足设计要求。2、抽取或内插处理后在有效频
    发表于 08-17 08:27

    数字抽取滤波器的DSP优化设计

    摘 要:为了降低地震数据采集系统的成本和功耗,采用数字信号处理器(DSP)实现-△模数转换器中的数字抽取滤波器算法。通过采取查表法、倒序循环遍历法以及模拟循环寻址法等优化方法,以较低工作频率实现了四通道四级
    发表于 02-25 16:00 68次下载

    级联COSINE滤波器抽取滤波中的研究

    为了解决高速抽取滤波器系统中传统CIC滤波器旁瓣抑制不够的问题,通过对级联COSINE抽取滤波器和传统CIC
    发表于 05-03 18:11 31次下载
    级联COSINE<b class='flag-5'>滤波器</b>在<b class='flag-5'>抽取</b><b class='flag-5'>滤波</b>中的研究

    4阶24倍抽取CIC滤波器设计

    4阶24倍抽取CIC滤波器设计,有兴趣的同学可以下载学习
    发表于 04-27 15:51 26次下载

    以FPGA为基础的Σ-∆ 转换抽取滤波器的设计过程详解

    前端的调制器利用过采样的方法将量化噪声搬移到高频段,后端的数字抽取滤波器必须再将高频噪声滤除,所以数字抽取滤波器的性能在整个Σ-∆ ADC中起着非常重要的作用。我们选用了一种
    发表于 07-18 18:31 3863次阅读
    以FPGA为基础的Σ-∆ <b class='flag-5'>转换</b>中<b class='flag-5'>抽取</b><b class='flag-5'>滤波器</b>的设计过程详解

    高频数字抽取滤波器的设计

    设计了采样频率为640 MHz、过采样率为64的高频数字抽取滤波器。该数字抽取滤波器由CIC(Cascaded Integrator Comb)滤波
    发表于 02-21 12:08 1835次阅读
    高频数字<b class='flag-5'>抽取</b><b class='flag-5'>滤波器</b>的设计

    FPGA的FIR抽取滤波器设计详细教程

    文介绍了FIR抽取滤波器的工作原理,重点阐述了用XC2V1000实现FIR抽取滤波器的方法,并给出了仿真波形和设计特点。
    发表于 04-19 11:34 1900次阅读
    FPGA的FIR<b class='flag-5'>抽取</b><b class='flag-5'>滤波器</b>设计详细教程

    怎么样使用FPGA设计ADC数字抽取滤波器

    针对Σ△ADC输出端存在的高频噪声问题,设计了一种 Sinc数字抽取滤波器,实现了Σ-△调制器输出信号的高频滤波。分析了Sinc滤波器的结构原理,基于 Spartan6FPGA进行
    发表于 08-26 17:12 14次下载
    怎么样使用FPGA设计ADC数字<b class='flag-5'>抽取</b><b class='flag-5'>滤波器</b>

    关于抽取和插值滤波器的介绍

    本白皮书介绍了有关抽取和插值滤波器的新观点。它提供了有关这些滤波器的简要介绍和历史观点,以及信号等待时间的可听性,IIR滤波器的适用性和相位失真的可听性。 引言和历史观点 早期的数字
    的头像 发表于 05-25 11:22 8555次阅读
    关于<b class='flag-5'>抽取</b>和插值<b class='flag-5'>滤波器</b>的介绍

    Σ-Δ模数转换器 数字滤波器类型

    Σ-Δ模数转换器 数字滤波器类型
    发表于 11-02 08:16 5次下载
    Σ-Δ<b class='flag-5'>模数转换</b>器 数字<b class='flag-5'>滤波器</b>类型

    浅谈滤波器

    浅谈滤波器
    的头像 发表于 11-29 16:20 303次阅读
    <b class='flag-5'>浅谈</b><b class='flag-5'>滤波器</b>