0
  • 聊天消息
  • 系统消息
  • 评论与回复
登录后你可以
  • 下载海量资料
  • 学习在线课程
  • 观看技术视频
  • 写文章/发帖/加入社区
创作中心

完善资料让更多小伙伴认识你,还能领取20积分哦,立即完善>

3天内不再提示

2020年云计算发展六大关键词,以及六大关键词背后的重要趋势

工业互联网前线 来源:中国信通院CAICT 2020-08-24 11:02 次阅读

7月29日,由中国信息通信研究院(以下简称“中国信通院”)、中国通信标准化协会主办,云计算开源产业联盟承办的云计算行业盛会——“2020可信云大会”线上开幕。作为可信云大会的“规定动作”,在业界的期待中,大会第六次发布《云计算发展白皮书(2020年)》,白皮书指出:未来,云计算仍将迎来下一个黄金十年,进入普惠发展期。一是随着新基建的推进,云计算将加快应用落地进程,在互联网、政务、金融、交通、物流、教育等不同领域实现快速发展。二是全球数字经济背景下,云计算成为企业数字化转型的必然选择,企业上云进程将进一步加速。三是新冠肺炎疫情的出现,加速了远程办公、在线教育等SaaS服务落地,推动云计算产业快速发展。”

中国信通院云计算与大数据研究所副所长栗蔚解读白皮书

中国信通院云计算与大数据研究所副所长栗蔚在会上正式发布并解读白皮书,披露了中国信通院基于对云计算市场的长期观察和研究,总结出的2020年云计算发展六大关键词,以及六大关键词背后的重要趋势。

解码六大关键词

“云计算以服务的形态已经为产业界接受。”栗蔚表示,当前全球云计算市场稳定增长,我国公有云服务规模更是首超私有云。

中国信通院统计显示,2019年,全球云计算市场规模达到1883亿美元,增速20.86%。预计2023年市场规模将超过3500亿美元。在我国,2019年云计算市场规模达1334亿元,增速38.61%。预计2023年市场规模将接近4000亿元。其中,公有云服务市场规模达到689亿元,较2018年增长57.6%,规模首次超过私有云(645亿元)。

关键词1:云原生

随着市场持续增长,云技术也不断推陈出新,其中一个值得高度关注的趋势是——云原生采纳率持续攀升。栗蔚介绍,目前超四成的企业已经在使用容器技术,超过七成的私有云企业已经使用或计划使用微服务架构。

关键词2:SaaS

“疫情下,越来越多的企业接受SaaS的模式。”栗蔚表示,从业务上看,我国IaaS发展成熟,PaaS增长高速,SaaS潜力巨大。2019年,我国SaaS市场规模达到194亿元,与全球整体市场(1095亿美元)的成熟度差距明显,但是发展空间却十分巨大。尤其是受疫情的推动,预计未来市场将加速发展。

关键词3:分布式云

“随着云边协同的发展,在工业等多个领域,分布式云将成为主要模式。”栗蔚说,中国信通院的调研显示,超过50%的用户已经计划或者已经使用边缘云的模式,“中心云+边缘云”的分布式云的架构已经崭露头角。

关键词4:原生云安全

“近年来,一个新的理念诞生,即原生云安全。”栗蔚表示,中国信通院发布的《中国公有云发展调查报告(2020年)》显示,42.4%的企业在选择公有云服务商时会考虑服务安全性,安全是影响企业选择的重要因素。而随着云原生快速兴起,原生云安全也成为关注焦点。

关键词5:数字化转型

数字化转型已经成为经济社会发展的重要趋势。栗蔚指出,随着云计算技术、架构、安全等方面的推陈出新,云计算在数字化转型中扮演重要角色。调查显示,超过五成的企业使用云计算是为了降本增效,超四成的企业表示使用云计算提升了IT运行效率,IT运维工作量减少和安全性提升的占比分别为25.8%和24.2%。

关键词6:新基建

“随着利好政策不断加码,云计算已经成为新基建的重要组成部分。”栗蔚表示,无论是工业和信息化部发布的《中小企业数字化赋能专项行动方案》,国家发展改革委、中央网信办发布的《关于推进“上云用数赋智”行动培育新经济发展实施方案》,还是国家发展改革委对新基建概念的解读,都表明——云计算已经成为新基建的重要组成部分。

解读六大新趋势

上述六大关键词的背后,是云计算产业发展的六大趋势。栗蔚介绍:一是云原生代表云技术从粗放向精细转型;二是SaaS代表云需求从IaaS向SaaS上移;三是分布式云代表云架构从中心向边缘延伸;四是原生云安全代表云安全从外部向原生转变;五是数字化转型代表云应用从互联网向行业生产渗透;六是新基建代表云定位既是基础资源也是基建操作系统

趋势1:云技术从粗放向精细转型

“云技术从粗放向精细转型,技术体系日臻成熟。”栗蔚指出,随着云原生的容器、微服务、无服务器等技术,越来越靠近应用层,资源调度的颗粒性、业务耦合性、管理效率和效能利用率都得到了极大提高。

在企业数字化转型中,数字中台发挥非常重要的作用,云原生恰恰是数字中台的“底座”,可以说数字中台是利用云原生技术精细化落地的最佳实践。同时,随着云原生的发展越来越精细化,原生云安全需求也越来越“细”。

趋势2:云需求从IaaS向SaaS上移

“目前,国内SaaS服务较国外相比存在3-5年差距,但是正是因为有差距,同时加上疫情的‘驱动’,国内市场蓄势待发。”栗蔚介绍,从这几年企业上云的情况也能够发现一些“端倪”:尽管目前国内没有SaaS独角兽企业,但是企业上云中,很多行业客户因为对于基础IT不是特别熟悉,因而更多会选择和行业相关的SaaS服务,国内细分行业SaaS市场需求旺盛。

新冠肺炎疫情发生后,越来越多的企业养成了使用线上应用的习惯,比如疫情防控、在线教育,视频会议等都是SaaS服务,因而在后疫情时代,SaaS将通过增加客户粘性和专业性提升用使用率。“同时,SaaS、IaaS和ISV服务商三方将深度合作,形成优势互补,打通产业链上下游,共建繁荣、和谐的SaaS服务生态。”在栗蔚看来,“未来,企业级SaaS服务向行业化、平台化、智能化发展。”。

趋势3:云架构从中心向边缘延伸

“随着边缘计算的发展,分布式云已经成为云计算的新形态,边缘侧布局也成为重点。”栗蔚介绍,分布式云根据部署位置不同,规模大小不同,服务能力强弱等要素,可以分为中心云、区域云和边缘云,三者形成的云边协同平台可以更加开放地为各大行业赋能。目前电信运营商都在利用5G基站,布局分布式的架构。未来,增加云边协同的能力,以及边缘侧赋能的能力,将为行业发展云计算带来更多红利。

尽管电信服务商和互联网服务商在云边协同上的布局路径有所不同,但是最终都将为行业带来算力红利。从具体的行业上看,大媒体行业首先受益于分布式云的发展,而在工业、交通、农业三大行业,云边协同也将发挥重要作用。比如:云边协同将实现传统工业与信息化的融合,云边协同将帮助传统农业向数字化、智能化、网络化转型,云边协同助力传统交通向智慧交通转型等。“云边协同,促使云计算为各行业各的赋能更加具备普惠性,将算力延伸到边缘侧。”栗蔚补充道。

趋势4:云安全从外部向原生转变

“原生云安全推动安全与云深度融合。”栗蔚表示,与以往相比,原生云安全平台将安全能力逐步从生产向开发和测试等环节延伸,融入从设计到运营的整个过程中,向用户交付更安全的云服务,而原生云安全产品,则是由原来的外挂逐渐转为内嵌,更多地发挥云平台的数据价值,进行安全态势感知、云访问安全代理等。

趋势5:云应用从互联网向行业生产渗透

“数字化转型推动云应用从互联网向行业生产渗透,传统信息基础设施亟待升级为数字基础设施。”栗蔚介绍,中国信通院调研显示,云应用日趋广泛,并且正在从消费互联网向产业互联网渗透。

然而需要看到的是,目前在传统行业,传统信息基础设施真正实现数字化并不多。栗蔚认为,主要原因有两个:一是技术架构存在痛点,比如,跨平台异构环境的数据难打通,高并发、不可预测访问需求承载力有限,上层应用敏捷化的交付需求难支撑等;二个是IT管理存在痛点,比如,多场景多层次的IT服务需求难实现,IT管理和服务各要素(技术、平台、流程、管理、组织架构、运营体系)能力水平不齐等。

为了应对上述痛点,目前业界加速推进企业技术架构迭代升级,促进传统数字化转型。同时,云化管理平台的作用也日渐凸显。栗蔚介绍,在这一背景下,中国信通院即将推出企业数字基础设施云化管理和服务运营能力成熟度模型(IOMM),从服务产品化、能力平台化、管理精益化、运营体系化、数据价值化等六个方面,为企业数字化转型的数字基础设施能力“把脉”。

趋势6:云定位既是基础资源也是基建操作系统

“新基建促使云的定位从基础资源向基建操作系统扩展。”栗蔚表示,以往,业界更多认为云计算就是虚拟化资源,然而在新基建框架中,云计算越来越多扮演基建管理调度的角色,是承上启下的重要平台,全面提升网络和算力的能力。

栗蔚认为,发改委对于新基建概念的定义包括三个方面:融合基础设施、信息基础设施、创新基础设施。其中,信息基础设施又包括算力基础设施、新技术基础设施、网络基础设施,云计算是新技术基础设施中的一个环节。

“如果将信息基础设施看作是一台计算机,那么云计算就是这台计算机的操作系统。”在栗蔚看来,云计算作为信息基础设施一部分,不仅提供虚拟化资源,并且以云原生为技术体系,构建面向全域数据高速互联与算力的全覆盖的整体架构,全面提升网络和算力能力水平。

在演讲的最后,栗蔚分别用一句话对六大趋势进行了总结:

一、随着云原生技术进一步成熟和落地,用户可将应用快速构建和部署到与硬件解耦的平台上,使资源可调度粒度越来越细、管理越来越方便、效能越来越高。

二、伴随企业上云进程不断深入,SaaS服务必将成为企业上云的重要抓手,助力企业提升创新能力。

三、随着新基建的不断落地,构建端到端的云、网、边一体化架构将是实现全域数据高速互联、应用整合调度分发以及计算力全覆盖的重要途径。

四、随着云计算原生安全理念的兴起,安全与云将实现深度融合,推动云服务商提供更安全的云服务,帮助云计算客户更安全地上云。

五、云计算将结合5G、AI、大数据等技术,为传统企业提高生产效率,实现IT云化管理,最终完成行业数字化转型。

六、云计算将进一步发挥其操作系统属性,深度整合算力、网络与其他新技术,推动新基建赋能产业结构不断升级。

更多精彩,敬请阅读白皮书解读PPT。

1

2

3

4

5

6

7

8

9

10

11

12

13

14

15

16

17

18

19

20

21

22

23

24

25

26

27

28

29

30

31

32

33

34

35

36

37

38

39

40

41

42

43

44

45

46

47

48

声明:本文内容及配图由入驻作者撰写或者入驻合作网站授权转载。文章观点仅代表作者本人,不代表电子发烧友网立场。文章及其配图仅供工程师学习之用,如有内容侵权或者其他违规问题,请联系本站处理。 举报投诉
  • 云计算
    +关注

    关注

    38

    文章

    7344

    浏览量

    135702
  • 数字化
    +关注

    关注

    8

    文章

    7698

    浏览量

    60870
  • 新基建
    +关注

    关注

    4

    文章

    811

    浏览量

    23162

原文标题:中国信通院发布《云计算发展白皮书(2020)》 ,权威解读六大新趋势

文章出处:【微信号:IndustryIOT,微信公众号:工业互联网前线】欢迎添加关注!文章转载请注明出处。

收藏 人收藏

    评论

    相关推荐

    详解FPGA六大应用领域

    FPGA 所能应用的领域大概可以分成六大类,下面听我一一道来。 01 FPGA在通信系统的应用简介 FPGA 在通信领域的应用可以说是无所不能,得益于 FPGA 内部结构的特点,它可以很
    发表于 01-17 17:03

    中科曙光算力服务年度盘点 四大关键词

    总结为以下四个关键词。 聚焦 —  曙光算力服务紧跟市场趋势,积极参与信通院新一代算力网技术创新联盟、首批可信算力云服务-智能平台和“算力星图”计划。通过深度参与行业标准和技术创新,曙光智算成功通过首批“可信算力服务-智
    的头像 发表于 01-04 10:34 280次阅读

    测测这10个AI关键词你清楚几个?第4个今年最火

    原文标题:测测这10个AI关键词你清楚几个?第4个今年最火 文章出处:【微信公众号:微软科技】欢迎添加关注!文章转载请注明出处。
    的头像 发表于 12-21 08:15 264次阅读
    测测这10个AI<b class='flag-5'>关键词</b>你清楚几个?第4个今年最火

    #2023,你的 FPGA 年度关键词是什么? # PWM模块更新

    之前的因为一些问题发的code有点问题,这次把更新之后code发了出来,虽然也不是很完善但是初步还是可以用的; 对应的code如下: `timescale 1ns / 1ps modulecreat_PWM ( inputwireclk,//系统时钟为100MHz inputwirerst,//系统复位 inputwirekey_flag1,//占空比上调 inputwirekey_flag2,//占空比下调 inputwirekey_flag3,//频率上调 inputwirekey_flag4,//频率下调 output regPWM ); //PWM波形频率选择 reg [1:0] Frequency_seting; always @(posedge clk or negedge rst) if( rst == 1\'b0 ) Frequency_seting <= 2\'b00; else if( (Frequency_seting == 2\'b11) && (key_flag3==1\'b1) ) Frequency_seting <= 2\'b00; else if( (Frequency_seting == 2\'b00) && (key_flag4==1\'b1) ) Frequency_seting <= 2\'b11; else if( key_flag3==1\'b1 ) Frequency_seting <= Frequency_seting + 1\'b1; else if( key_flag4==1\'b1 ) Frequency_seting <= Frequency_seting - 1\'b1; else Frequency_seting <= Frequency_seting; //PWM波形的频率设定 reg [23:0] Frequency_CNT_MAX; always @(posedge clk or negedge rst) if( rst == 1\'b0 ) Frequency_CNT_MAX <= 24\'d9_999; else case( Frequency_seting ) 2\'b00 : Frequency_CNT_MAX <= 24\'d9_999; 2\'b01 : Frequency_CNT_MAX <= 24\'d99_999; 2\'b10 : Frequency_CNT_MAX <= 24\'d999_999; 2\'b11 : Frequency_CNT_MAX <= 24\'d9_999_999; default : Frequency_CNT_MAX <= 24\'d9_999; endcase //PWM频率生成计数器模块 reg [23:0] counter; always @(posedge clk or negedge rst) if( rst == 1\'b0 ) counter <= 0; else if( counter == Frequency_CNT_MAX) counter <= 0; else counter <= counter + 1\'b1; //占空比调节模块,步进为10% reg [23:0] duty_counter; always @(posedge clk or negedge rst) if( rst == 1\'b0 ) duty_counter <= Frequency_CNT_MAX/2; else if( key_flag1 == 1\'b1 ) duty_counter <= duty_counter + (Frequency_CNT_MAX/10); else if( key_flag2 == 1\'b1 ) duty_counter <= duty_counter - (Frequency_CNT_MAX/10); else duty_counter <= duty_counter; //生成PWM always @(posedge clk or negedge rst) if( rst == 1\'b0 ) PWM <= 1\'b0; else if( duty_counter <= counter ) PWM <= 1\'b1; else PWM <= 1\'b0; endmodule 对应的测试用的testbench如下: `timescale 1ns/1ns module tb_creat_PWM(); //****************** Parameter and Internal Signal *******************// //wire define wirePWM; //reg define reg clk; reg rst; reg key_flag1; reg key_flag2; reg key_flag3; reg key_flag4; //***************************** Main Code ****************************// initial begin clk = 1\'b1; rst <= 1\'b0; key_flag1 <= 1\'b0; key_flag2 <= 1\'b0; key_flag3 <= 1\'b0; key_flag4 <= 1\'b0; #201; rst <= 1\'b1; #200; key_flag1 <= 1\'b1; #100; key_flag1 <= 1\'b0; #20000000; key_flag1 <= 1\'b1; #100; key_flag1 <= 1\'b0; #20000000; #20000000; $stop; end // creator clk always #10 clk <= ~clk; //*************************** Instantiation **************************// creat_PWMcreat_PWM_inst ( .clk ( clk), .rst ( rst), .key_flag1 ( key_flag1 ), .key_flag2 ( key_flag2 ), .key_flag3 ( key_flag3 ), .key_flag4 ( key_flag4 ), .PWM ( PWM) ); endmodule 对应的原始code中的参数如果修改一下是可以大幅缩短仿真时间,但是一时没有想起对应的修改模块内部变量的方法,后面找到后再进行补充。 写的还是感觉比较差劲,只能说说慢慢进步吧,自己也是自学不久。
    发表于 12-12 10:47

    #2023,你的 FPGA 年度关键词是什么? # PWM模块基础设计

    由于今天连续多次无法发布该文章,心态真的是崩了,由于基础的PWM比较简单,此次先给大家展示个半成品,完整状态对应的PWM频率、占空比均可调节,对应的模块结构图如下: 对应的基本code如下: modulecreat_PWM ( inputwireclk, //系统时钟为50MHz inputwirerst, inputwirekey_flag1, inputwirekey_flag2, output regPWM ); parameter Frequency_CNT_MAX = 16\'d49_999; //输出PWM为1KHz,1ms=5000*20ns //PWM频率生成计数器模块 reg [15:0] couter; always @(posedge clk or negedge rst) if( rst == 1\'b0 ) couter <= 0; else if( couter == Frequency_CNT_MAX ) couter <= 0; else couter <= couter + 1\'b1; //占空比调节模块 reg [15:0] duty_counter; always @(posedge clk or negedge rst) if( rst == 1\'b0 ) duty_counter <= 16\'d24_999; else if( key_flag1 == 1\'b1 ) duty_counter <= duty_counter + 16\'d49; else if( key_flag2 == 1\'b1 ) duty_counter <= duty_counter - 16\'d49; else duty_counter <= duty_counter; //生成PWM always @(posedge clk or negedge rst) if( rst == 1\'b0 ) PWM <= 1\'b0; else if( duty_counter <= Frequency_CNT_MAX ) PWM <= 1\'b1; else PWM <= 1\'b0; endmodule 由于是第一次在电子发烧友上发文章,体验感觉真的不太友好,希望能够把文章的自动保存功能给加上,否则没有备份真的让人不开心
    发表于 12-06 21:56

    #2023,你的 FPGA 年度关键词是什么? #

    FPGA 年度关键词,我的想法是“标准化”;今年的工作中遇到了不少同事的issues,本身都是小问题或者很细节的东西但是却反复出现问题,目前想到的最好的办法是做好设计规则的标准化才能避免,不知道大家有没有更好的建议?
    发表于 12-06 20:31

    AT32上实现关键词语音识别(KWS)

    AT32上实现关键词语音识别(KWS)本文基于此开源模型和代码,在AT32 MCU 上对KWS 效果进行展示。
    发表于 10-26 07:45

    关键词分析(2)#Python数据分析

    编程python
    未来加油dz
    发布于 :2023年09月02日 18:29:02

    集 学会使用static关键词 - 第2节

    数据开发程序网络编程
    充八万
    发布于 :2023年08月17日 15:23:31

    集 学会使用static关键词 - 第1节

    数据开发程序网络编程
    充八万
    发布于 :2023年08月17日 15:22:41

    中国信通院发布“2023云计算大关键词

    ”,解读云计算产业发展重要趋势。十大关键词分别是:应用现代化、一云多芯、分布式云、低/无代码、软件工程、系统稳定性、云原生安全、云优化治理、
    的头像 发表于 07-31 23:44 459次阅读
    中国信通院发布“2023云<b class='flag-5'>计算</b>十<b class='flag-5'>大关键词</b>”

    中国信通院发布“2023云计算大关键词

    ”,解读云计算产业发展重要趋势。 十大关键词分别是: 应用现代化、一云多芯、分布式云、低/无代码、软件工程、系统稳定性、云原生安全、云优化治
    的头像 发表于 07-27 10:35 564次阅读
    中国信通院发布“2023云<b class='flag-5'>计算</b>十<b class='flag-5'>大关键词</b>”

    TinyML变得简单:关键词识别(KWS)

    电子发烧友网站提供《TinyML变得简单:关键词识别(KWS).zip》资料免费下载
    发表于 07-13 10:20 2次下载
    TinyML变得简单:<b class='flag-5'>关键词</b>识别(KWS)

    2023大数据十大关键词

    随着移动互联网飞速发展,为满足多种数据类型存储、多场景分析等业务诉求,部分企业采用在一个数据平台之上混合部署数据湖和数据仓库,通过ETL进行数据交换的业务架构。这一湖+仓混合架构存在存储成本高、时效性差、易出现一致性问题、开发运维难度高四大问题。
    的头像 发表于 06-28 15:37 562次阅读
    2023大数据十<b class='flag-5'>大关键词</b>

    用五大关键词解读23家连接器企业年报

    2022各企在复杂困顿的环境之下,持续在连接器行业中坚守与创新,不断提升国产通信连接器、车载连接器、光储连接器市占率。本文将以五大关键词来简要概述上市连接器企业2022年的业绩情况。 *注:本文
    的头像 发表于 05-08 15:57 314次阅读
    用五<b class='flag-5'>大关键词</b>解读23家连接器企业年报