0
  • 聊天消息
  • 系统消息
  • 评论与回复
登录后你可以
  • 下载海量资料
  • 学习在线课程
  • 观看技术视频
  • 写文章/发帖/加入社区
创作中心

完善资料让更多小伙伴认识你,还能领取20积分哦,立即完善>

3天内不再提示

FPGA之家

文章:879 被阅读:304.6w 粉丝数:175 关注数:0 点赞数:64

广告

V2X是什么?V2X有什么用呢?

V2X有什么用呢?在我看来最重要的一个用处是「安全」,当车能够实时的和周边其他车辆或者路侧设备交互信....
的头像 FPGA之家 发表于 07-13 09:37 6491次阅读

FIFO队列的串口数据收发方法

在嵌入式软件的开发中,串口是十分常用且基础的功能。在需要批量发送数据的场合,可以使用while循环等....
的头像 FPGA之家 发表于 07-12 09:16 3360次阅读

USB是如何工作的

USB设备现在是用的非常普遍的一种接口了,它即插即用的特性给人们带来了很大的方便。
的头像 FPGA之家 发表于 07-12 09:13 2397次阅读

新建仿真工程如何开始仿真

4.1 新建仿真工程在开始动手仿真之前,首先,我们需要创建一个文件夹用来放置我们的 ModelSim....
的头像 FPGA之家 发表于 07-11 11:05 1206次阅读

为什么串行接口变得如此流行

尽管SERDES(SERializer/DESerializer)拥有十分复杂的设计和验证过程,但已....
的头像 FPGA之家 发表于 07-11 10:59 1324次阅读

ModelSim手动仿真教程

在开始动手仿真之前,首先,我们需要创建一个文件夹用来放置我们的 ModelSim 仿真工程文件,这里....
的头像 FPGA之家 发表于 07-11 10:58 4543次阅读

SpinalHDL里用于跨时钟域处理的一些手段方法

每一个做数字逻辑的都绕不开跨时钟域处理,谈一谈SpinalHDL里用于跨时钟域处理的一些手段方法。
的头像 FPGA之家 发表于 07-11 10:51 1383次阅读

Xilinx 7系列FPGA管脚是如何定义的

表1-1列出了7系列FPGA封装中的管脚定义。注意:表1-12有单独列出的专用通用用户I/O,也有标....
的头像 FPGA之家 发表于 07-11 10:47 3772次阅读

模板函数以及模板类的基本概念以及原理

最近使用C++做些编程,把日常遇到的些比较重要的概念总结分享一下。本文来分享一下模板类的原理,以及为....
的头像 FPGA之家 发表于 07-11 10:42 916次阅读

C语言中的内存管理

全局区(静态区)(static)—全局变量和静态变量的存储是放在一块的,初始化的全局变量和静态变量在....
的头像 FPGA之家 发表于 07-11 10:37 990次阅读

UART的回环实例代码设计

UART是异步串行通信口的总称。它所包含的RS232RS449RS423等等是对应各种异步串行通信口....
的头像 FPGA之家 发表于 07-10 09:20 896次阅读

FIFO设计的难点

First Input First Output的缩写,先入先出队列,这是一种传统的按序执行方法,先....
的头像 FPGA之家 发表于 07-10 09:17 784次阅读

什么是互相关函数

 什么是互相关函数?在统计学中,相关是描述两个随机变量序列或二元数据之间的统计关系,无论是否具有因果....
的头像 FPGA之家 发表于 07-10 09:14 6622次阅读

I2C通讯协议及其FPGA实测波形

应答信号使用MCU、FPGA等控制器实现时,需要在第9个SCL时钟周期把SDA设置为高阻输入状态,如....
的头像 FPGA之家 发表于 07-10 09:07 5481次阅读

如何查看vivado各个模块的资源占用情况

在vivado中 ,如何查看各个模块的资源占用情况呢?方法如下。以在xilinx官方评估板VC709....
的头像 FPGA之家 发表于 07-08 10:01 9888次阅读

HBM的基本情况

HBM(High Bandwidth Memory,高带宽存储)已成为现代高端FPGA的一个重要标志....
的头像 FPGA之家 发表于 07-08 09:58 10234次阅读

如何通过仿真器理解Verilog语言的思路

要想深入理解Verilog就必须正视Verilog语言同时具备硬件特性和软件特性。
的头像 FPGA之家 发表于 07-07 09:54 1159次阅读

verilog的一些基本概念

FPGA各位和数字IC设计岗位面试时常常会问下verilog的一些基本概念,做了下整理,面试时一定用....
的头像 FPGA之家 发表于 07-07 09:51 1253次阅读

Verilog写法:组合逻辑+时序逻辑

这种写法没什么问题,但是有一点,覆盖率不好收,如果一些情况没跑到需要一个个分析。覆盖率会把数据信号当....
的头像 FPGA之家 发表于 07-07 09:37 4724次阅读

MATLAB代码仿真介绍

如图上所示,混频后得到了两个频率频率分量为 0.5MHz 和 3.5 MHz的信号。将该信号通过一个....
的头像 FPGA之家 发表于 07-06 10:35 2818次阅读

OFDM原理及优缺点

OFDM是一种多载波调制方案,它的基本原理是将传输信道分解为若干个正交的子信道,将需要传输的高速数据....
的头像 FPGA之家 发表于 07-06 10:12 9560次阅读

如何提高阅读源码的效率

另外还需要gcc编译源码生成dumpfile文件,如果想要方便一些,可能还需要自己写脚本实现自动化,....
的头像 FPGA之家 发表于 07-06 10:01 570次阅读

CAN总线常见问题

信号地也称为隔离地,为使电子设备工作时有一个统一的参考电位,避免有害电磁场的干扰,使设备稳定可靠的工....
的头像 FPGA之家 发表于 07-05 10:15 1689次阅读

vivado的sdk开发工具记录

使用像ZYNQ这样的SOC架构的FPGA,开发难度比较大的,能熟练开发FPGA已经很难了,ZYNQ需....
的头像 FPGA之家 发表于 07-05 10:12 1988次阅读

结合vivado的sdk开发工具记录

vivado搭建一个简单PS 的工程(记得勾选uart),生成bit,导出硬件,启动sdk,新建he....
的头像 FPGA之家 发表于 07-05 10:10 2217次阅读

学习编程与武功绝学

学习编程与武功绝学武侠小说中,各路侠客绿林好汉都是从基本功开始一招一式学起,掌握了足够多的招式后,加....
的头像 FPGA之家 发表于 07-05 10:09 655次阅读

GTX/GTH收发器时钟架构应用

在PCIe模式中,PCLK是FPGA逻辑接口,用来同步并行接口数据传输。在Gen1应用中推荐的PCL....
的头像 FPGA之家 发表于 07-05 10:08 2416次阅读

学习编程与武功绝学

C 语言高效、灵活、功能丰富、表达力强、作为一门基础的底层语言与九阳神功偏内功是一致的,九阳真经着重....
的头像 FPGA之家 发表于 07-05 10:06 504次阅读

关于高速ADC测试和评估应用

原文标题:编辑推荐:高速ADC测试和评估应用笔记 文章出处:【微信公众号:FPGA之家】欢迎添加关注....
的头像 FPGA之家 发表于 07-04 11:21 898次阅读

RAM和ROM的区别在哪

说到RAM,相信大家都略知一二,但你知道各种RAM的原理及区别吗?
的头像 FPGA之家 发表于 07-04 11:17 8050次阅读