0
  • 聊天消息
  • 系统消息
  • 评论与回复
登录后你可以
  • 下载海量资料
  • 学习在线课程
  • 观看技术视频
  • 写文章/发帖/加入社区
创作中心

完善资料让更多小伙伴认识你,还能领取20积分哦,立即完善>

3天内不再提示

探究关于FPGA的DDS设计方案

FPGA之家 来源:博客园 作者:郝旭帅 2021-06-10 17:54 次阅读

基于FPGA的DDS设计方案1 DDS技术简介随着电子技术的不断发展,传统的频率合成技术逐渐不能满足人们对于频率转换速度、频率分辨率等方面的追求,直接数字频率合成技术应运而生。

直接数字频率合成技术(DDS) 是把一系列数据量形式的信号通过D/A转换器转换成模拟量形式的信号合成技术。DDS具有很多优点,比如:频率转换快、频率分辨率高、相位连续、低功耗、低成本与控制方便。

DDS技术满足了人们对于速度稳定性的需求,但是在一些控制较为复杂的系统中,DDS专用芯片不能很好的贴合要求。利用现场可编程门阵列(FPGA)实现DDS具有很大的灵活性,基本能满足现在通信系统的使用要求。

2 DDS结构原理2.1 基本结构 DDS基本结构框图主要由参考频率源、相位累加器、ROM查找表、DAC转换器、低通滤波器等构成。

相位累加器以一定的步长做累加, 而波形函数存储在ROM查找表中, 将相位累加器输出的相位值作为地址,寻找存储在ROM查找表中的波形函数的幅度值,从而完成相位到幅值的转换。其中,参考频率源一般是一个晶体振荡器,要求具有高稳定性,用于DDS中各部件之间的同步。

2.2 基本原理

cea46aee-c9c7-11eb-9e57-12bb97331649.png

DDS Core结构图

上图为DDS Core结构图,∆θ是相位增量(对应图1中的频率控制字K),B∆θ 为相位累加器的位数,clk是参考时钟(对应图1中的参考频率源),A1、D1构成积分器(相位累加器),θ(n)是相位累加器输出的相位,Q1为量化器,用于将相位累加器位数与查找表地址之间的匹配,ϑ(n)为查找表输入地址,Bϑ(n) 为查找表输入地址位数,T1为查找表。

下面介绍DDS设计过程中常用到的公式,主要是输出频率公式及其变形。

输出频率

相位增量

频率分辨率

相位累加器位数

3 基于FPGA的 DDS实现For example:

设计一个参考时钟为100MHz,频率分辨率要求能够达到0.03Hz,输出sin信号频率为5.00000005MHz、查找表地址12位;

理论分析:

已知频率分辨率∆f与参考时钟f_clk,带入相位累加器位数公式计算

B∆θ = 31.634318

由于位数为整数,取整数32,所以实际的频率分辨率为

∆f = 0.023283064365386962890625Hz

将其带入相位增量公式计算,取整数

∆θ = 21474836694.7483648≈21474836

相位累加器输出32位,而查找表输入地址为12位,取相位累加器高12位作为查找表输入地址

3.1 利用RTL实现DDS使用matlab产生sin⁡(θ)数据,θϵ[0,π],点数为2^12=4096,并保存在FPGA的memory中。

wid = 12;

len = 2^wid;

amp = 10000;

t=0:2*pi/len:2*pi - 2*pi/len;

y = round(sin(t)*amp);

plot(y);

相位累加器就是一个积分器,很容易用FPGA实现。最终FPGA仿真结果如下,clk为参考时钟,phase为相位累加器输出,addr为查找表地址,cos_i、sin_q为信号输出。

3.2 利用Xlinx DDS IP实现上述已经对DDS理论进行了详细概述,利用Xlinx DDS IP实现上述例子相对容易的多,只需要填入对应的参数信息

需要注意的是,输入相位端口S_AXIS_PHASE与输出信号端口M_AXIS_DATA的格式,通过设计界面的Information查看,CHAN_0_POFF为初始相位,没有特殊要求一般设置为0,CHAN_0_PINC为相位增量∆θ。

4 DDS用途DDS用途非常广泛,后续会利用Xlinx DDS IP设计一些有趣的信号,例如线性调频信号、非重复扫描系统等。

编辑:jq

声明:本文内容及配图由入驻作者撰写或者入驻合作网站授权转载。文章观点仅代表作者本人,不代表电子发烧友网立场。文章及其配图仅供工程师学习之用,如有内容侵权或者其他违规问题,请联系本站处理。 举报投诉
  • FPGA
    +关注

    关注

    1602

    文章

    21320

    浏览量

    593173
  • DDS
    DDS
    +关注

    关注

    21

    文章

    614

    浏览量

    151730
  • 累加器
    +关注

    关注

    0

    文章

    50

    浏览量

    9365
  • xlinx
    +关注

    关注

    0

    文章

    5

    浏览量

    4427

原文标题:基于FPGA的DDS设计方案

文章出处:【微信号:zhuyandz,微信公众号:FPGA之家】欢迎添加关注!文章转载请注明出处。

收藏 人收藏

    评论

    相关推荐

    基于FPGADDS正弦信号发生器的设计和实现

    电子发烧友网站提供《基于FPGADDS正弦信号发生器的设计和实现.pdf》资料免费下载
    发表于 03-24 09:34 3次下载

    使用AD9739A生成信号,用FPGADDS生成信号,为什么谐波特别明显?

    使用AD9739A生成信号,用FPGADDS生成信号,见图,谐波特别明显,尤其是3次谐波,购买的是AD9739_FMC的评估板,下图中产生250M的信号,三次谐波比750M比250M还要强!!现在不知道问题出在什么地方?
    发表于 12-07 07:57

    DDS在ROS2中的应用

    DDS在ROS2中的应用 DDS在ROS2系统中的位置至关重要,所有上层建设都建立在DDS之上。在这个ROS2的架构图中,蓝色和红色部分就是DDS。 刚才我们也提到,
    的头像 发表于 11-24 17:54 424次阅读
    <b class='flag-5'>DDS</b>在ROS2中的应用

    辐射骚扰整改思路及方法:方案合并与原理探究

    辐射骚扰整改思路及方法:方案合并与原理探究 ?|深圳比创达电子EMC
    的头像 发表于 11-09 11:22 343次阅读
    辐射骚扰整改思路及方法:<b class='flag-5'>方案</b>合并与原理<b class='flag-5'>探究</b> ?

    2023年电子设计大赛G题火源设计方案

    2023年电子设计大赛G题火源设计方案
    的头像 发表于 11-03 09:04 589次阅读
    2023年电子设计大赛G题火源<b class='flag-5'>设计方案</b>

    基于FPGA 程序的DDS IP配置和调试

    DDS 同 DSP(数字信号处理)一样,是一项关键的数字化技术。DDS 是直接数字式频率合成器(Direct Digital Synthesizer)的英文缩写。与传统的频率合成器相比,DDS 具有
    发表于 10-31 11:14 371次阅读
    基于<b class='flag-5'>FPGA</b> 程序的<b class='flag-5'>DDS</b> IP配置和调试

    基于CPLD/FPGA的多串口扩展设计方案

    电子发烧友网站提供《基于CPLD/FPGA的多串口扩展设计方案.pdf》资料免费下载
    发表于 10-27 09:45 3次下载
    基于CPLD/<b class='flag-5'>FPGA</b>的多串口扩展<b class='flag-5'>设计方案</b>

    一种用DDS激励PLL的X波段频率合成器的设计方案

    电子发烧友网站提供《一种用DDS激励PLL的X波段频率合成器的设计方案.pdf》资料免费下载
    发表于 10-24 09:10 4次下载
    一种用<b class='flag-5'>DDS</b>激励PLL的X波段频率合成器的<b class='flag-5'>设计方案</b>

    电力变压器保护设计方案

    电力变压器保护设计方案
    的头像 发表于 10-23 09:35 352次阅读
    电力变压器保护<b class='flag-5'>设计方案</b>

    基于FPGA的PCI硬件加解密卡的设计方案

    电子发烧友网站提供《基于FPGA的PCI硬件加解密卡的设计方案.pdf》资料免费下载
    发表于 10-18 11:18 0次下载
    基于<b class='flag-5'>FPGA</b>的PCI硬件加解密卡的<b class='flag-5'>设计方案</b>

    FPGADDS在信号源中的应用

    点击上方 蓝字 关注我们 1 引言 DDS同DSP(数字信号处理)一样,是一项关键的数字化技术。DDS是直接数字式频率合成器(DirectDigitalSynthesizer)的英文缩写。与传统
    的头像 发表于 07-24 17:30 538次阅读
    <b class='flag-5'>FPGA</b>和<b class='flag-5'>DDS</b>在信号源中的应用

    求一种FPGA实现图像去雾的实现设计方案

    本文详细描述了FPGA实现图像去雾的实现设计方案,采用暗通道先验算法实现,并利用verilog并行执行的特点对算法进行了加速;
    发表于 06-05 17:01 897次阅读
    求一种<b class='flag-5'>FPGA</b>实现图像去雾的实现<b class='flag-5'>设计方案</b>

    FPGA常用运算模块-DDS信号发生器

    本文是本系列的第六篇,本文主要介绍FPGA常用运算模块-DDS信号发生器,xilinx提供了相关的IP以便于用户进行开发使用。
    的头像 发表于 05-24 10:37 4096次阅读
    <b class='flag-5'>FPGA</b>常用运算模块-<b class='flag-5'>DDS</b>信号发生器

    DDS协议测试解决方案之中间件的作用#DDS

    DDS
    北汇信息POLELINK
    发布于 :2023年05月12日 13:05:42

    DDS协议测试解决方案之--什么是中间件?#中间件

    DDS
    北汇信息POLELINK
    发布于 :2023年05月06日 08:29:22