电子发烧友App

硬声App

0
  • 聊天消息
  • 系统消息
  • 评论与回复
登录后你可以
  • 下载海量资料
  • 学习在线课程
  • 观看技术视频
  • 写文章/发帖/加入社区
创作中心

完善资料让更多小伙伴认识你,还能领取20积分哦,立即完善>

3天内不再提示

电子发烧友网>通信网络>通信设计应用>PowerCap Package Allows for De

PowerCap Package Allows for De

收藏

声明:本文内容及配图由入驻作者撰写或者入驻合作网站授权转载。文章观点仅代表作者本人,不代表电子发烧友网立场。文章及其配图仅供工程师学习之用,如有内容侵权或者其他违规问题,请联系本站处理。 举报投诉

评论

查看更多

相关推荐

DE2

`老东西。。。Altera DE2`
2015-11-16 10:59:07

DE2-115

DE2-115中文使用手册
2013-09-02 09:59:28

DE9P1A9N

DE9P1A9N
2023-03-23 02:28:29

de-brick 啥意思?

de-brick 啥意思?How to de-brick XXX開發板?看到的!!!
2016-06-15 22:07:41

Allegro中的Package Keepin区域外怎样放置元件封装

我在Allegro中画PCB板时设置了Package Keepin区域,现在要将DB25连接器放置在PCB边缘,元件有一部分需要超出PCB外框,当然也超出了Package Keepout区域。请问
2017-04-27 22:18:26

NI Package Manager创建程序包

NI Package Manager创建程序包 要使用PackageManager创建程序包,即把相关的组件都放在一个目录下,使用命令行创建程序包。 程序包是一个压缩文件,包含要安装到目标位置
2023-11-19 20:11:01

VI Package Manager 不能安装库

VI Package Manager 不能安装库,请大神指导谢谢
2019-08-02 10:00:20

uMAX PACKAGE是什么封装?

在MAX8860资料里看到,封装是用miniature 8-pin μMAX package,这个是用dip-8的封装吗?
2013-03-30 12:34:46

【WRTnode2R试用体验】OpenWRT的包依赖 package DEPEND

本帖最后由 ketose 于 2015-11-21 22:25 编辑 openWRT平台的package管理有自己的Makefile,不同于gcc的Makefile,这个Makefile
2015-11-21 22:13:52

丝印3622DE开关管VS3622DE、VS3622回收

芯片型号:VS3622DE简型号:VS3622丝印:3622DE回收芯片:开关管求购类型:回收工厂及代理商库存说明VS3622DE 是双NMOS管,耐压30V,两颗组成H桥,用于无线充电线圈驱动
2021-01-07 17:13:16

使用Developer Package和Distribution Package编译的设备树的区别是什么?

我想知道使用 Developer Package 和 Distribution Package 编译的设备树的区别。的我知道当我创建自己的机器时,我可以通过以下两种方法创建设备树。 方法 1
2023-01-10 07:18:43

如何去解决在make menuconfig时无法选择PACKAGE_libcedarx的问题

Tina SDK用户反馈,对于特定方案(v833),在make menuconfig时,可以搜索到PACKAGE_libcedarx,但无法选择。如上图所示,可以搜索到
2021-12-29 07:52:36

如何对Tina/package下的新增软件包进行配置呢

在Tina/package下新增软件包配置,如果新增软件包的目录太深,将会导致tina检测不到。比如tina/package/dir1/dir2/dir3/dir4/Makefile可以检测到,但是
2021-12-29 06:37:11

寻找DE#506

元器件丝印是DE#506,封装是SOT-23-5。有没了解这个元器件的,跪求
2016-05-24 22:17:46

请问Systemverilog中如何使用VHDL的package?

现在需要使用system verilog写代码,但是想复用之前VHDL的package,里面有写的现成的function等,请问如何调用呢。总是报错说找不到_pkg
2024-03-12 15:37:33

谁有 VI Package Manager软件

谁有 VI Package Manager软件
2014-11-20 12:15:28

IC Package Impedance Matching

interconnect will limit VLSI system performance•This is caused by :1) Parasitics of the Package Interconnect2) Faste
2008-09-10 14:16:4113

op954 pdf datasheet

De scrip tionThe OP954 device consists of a PINsilicon photodiode molded in a clearepoxy package
2008-10-08 09:39:526

OP950 pdf datasheet

De scrip tionThe OP950 device consists of a PINsilicon photodiode molded in a clearepoxy package
2008-10-08 09:40:5410

Allegro Package Designer

Allegro Package DesignerCadence Allegro Package Designer products streamline IC package design
2008-10-16 09:38:460

ALLEGRO PACKAGE DESIGNER 620/A

ALLEGRO PACKAGE DESIGNER 620/ALLEGRO PACKAGE SI 620
2008-10-16 09:40:190

De ign of lntelligent Flick Pr

De ign of lntelligent Flick Protection Sy tem Ba ed on Single Chip Computer Abstract The harm
2009-01-16 13:22:3614

生成de Bruijn序列的消元算法

提出一种生成de Bruijn 序列的消元算法,通过一个m+1 元n 级de Bruijn 序列找出其Look-up 表和Look-up 表标签,基于该Look-up表标签进行修正,找出m 元n 级的Look-up 表和Look-up 表标签,并由其
2009-03-20 14:25:4919

Package dimensions selector gu

Package dimensions selector guide
2009-03-28 14:49:020

Package lead inductance consid

to fully utilize deviceperformance. One area of concern is with the package leadsbetween the chip and the board environment. The
2009-03-30 00:12:1311

生成de Bruijn序列的升级算法

提出一种生成de Bruijn序列的升级算法。该算法根据给定的n级de Bruijn序列查寻表标签,采用合成的方法构造出n+1级de Bruijn序列的查寻表标签,从而产生n+1级de Bruijn序列。实验结果表明
2009-04-09 08:41:1715

Package Design Specifi cations

Package Design Specifi cations, Tape & Reel and Assembly Information:Notes:1. Controlling
2009-06-28 22:35:3216

Altera杯DE2板摄像头演示

on the Altera DE2/DE1 and Terasic TREX C1 boards (TR1). Thekit contains hardware design (in Verilog) and software to load the picture takeni
2009-07-20 09:12:090

Altera DE2 开发板测试说明

1 安装 Quartus II 5.1 Web Edition Full;2 将 DE2 System 光盘中的全部内容复制到PC 机上,其中DE2_control_panel文件夹内容最为重要;3 将开发板的电源和 USB 线(方形口端接开发板的BLASTER
2009-07-21 16:35:080

DE2_PS2

DE2_PS2
2009-07-28 09:37:5830

Philips Semiconductors Package

Philips Semiconductors Package outline
2010-06-30 21:42:0422

Agilent N4916B De-emphasis Sig

Key features:•  Generates 4-tap de-emphasis with variable de-emphasis levels up to 12.0
2010-08-13 10:57:1110

ASRock华擎 P43DE主板

ASRock华擎 P43DE主板 主板驱动
2010-11-15 18:18:4877

模拟DE误操作电路

模拟DE误操作电路
2009-09-25 11:14:37527

模拟DE误操作电路(续)

模拟DE误操作电路(续)
2009-09-25 11:18:18449

DS9034PCX PowerCap的设计

  该DS9034PCX PowerCap的设计是一个在达拉斯半导体非易失时钟RAM的锂动力源的直接表面贴装PowerCap模块(PCM)封装。经过PowerCap模块板焊接已经到位并清洗,DS9034PCX PowerCap是放置在PCM
2010-10-21 08:58:121222

DS3065WP非易失(NV)PowerCap SRAM模块

  MXIM推出DS3065WP,一个1米x 8非易失(NV)与一个嵌入式实时时钟(RTC)和电池包在一个PowerCap
2010-10-28 08:46:50697

#硬声创作季 Package Symbol批处理的功能上集

PackageSymbol
jf_27932003发布于 2023-01-12 08:20:47

#硬声创作季 Package Symbol批处理的功能下集

PackageSymbol
jf_27932003发布于 2023-01-12 08:21:22

DE2-115的应用介绍

DE2-115的应用介绍,后续还会继续上传
2015-11-17 18:10:2521

Joomla_2.5.0-Stable-Full_Package

Joomla_2.5.0-Stable-Full_Package
2015-12-10 15:23:467

Leadless Leadframe Package

Leadless Leadframe Package
2017-03-24 15:03:000

DE2中文说明书--第2部分

DE2中文说明书
2017-10-16 09:15:126

DE2中文说明书--第3部分

DE2中文说明书
2017-10-16 09:12:364

DE2中文说明书--第1部分

DE2中文说明书
2017-10-16 09:08:475

HLMP-1503-DE000 T-1(3mm)漫射LED灯

电子发烧友网为你提供Broadcom(ti)HLMP-1503-DE000相关产品参数、数据手册,更有HLMP-1503-DE000的引脚图、接线图、封装手册、中文资料、英文资料,HLMP-1503-DE000真值表,HLMP-1503-DE000管脚等资料,希望可以帮助到广大的电子工程师们。
2019-07-04 11:34:01

HDSP-H101-DE000 低电流七段显示

电子发烧友网为你提供Broadcom(ti)HDSP-H101-DE000相关产品参数、数据手册,更有HDSP-H101-DE000的引脚图、接线图、封装手册、中文资料、英文资料,HDSP-H101-DE000真值表,HDSP-H101-DE000管脚等资料,希望可以帮助到广大的电子工程师们。
2019-07-04 10:53:01

HDSP-H103-DE000 低电流七段显示

电子发烧友网为你提供Broadcom(ti)HDSP-H103-DE000相关产品参数、数据手册,更有HDSP-H103-DE000的引脚图、接线图、封装手册、中文资料、英文资料,HDSP-H103-DE000真值表,HDSP-H103-DE000管脚等资料,希望可以帮助到广大的电子工程师们。
2019-07-04 10:49:01

ALTERA公司的DE1 SoC FPGA开发板的培训教程免费下载

本文档的主要内容详细介绍的是ALTERA公司的DE1 SoC FPGA开发板的培训教程免费下载包括了:第1章 DE1-SOC 快速入门,第2章 DE1-SOC 硬件实验,第3章 DE1-SOC 软件
2019-07-08 08:00:0027

如何使用VHDL设计Altera的DE2板上SDRAM存储器

本教程介绍如何将Altera的DE2开发和教育板上的SDRAM芯片与使用Altera SOPC Builder实现的Nios II系统一起使用。本文的讨论是基于这样一个假设:读者可以访问DE2板,并且熟悉教程介绍中使用VHDL设计的Altera SOPC Builder的内容。
2021-01-22 15:34:119

如何使用Verilog设计Altera的DE2板上SDRAM存储器

本文档的主要内容详细介绍的是如何使用Verilog设计Altera的DE2板上SDRAM存储器。
2021-01-22 15:34:0910

CN0506 Design Support Package

CN0506 Design Support Package
2021-01-29 12:44:210

LTC4306 IBIS GN Package

LTC4306 IBIS GN Package
2021-01-30 09:03:050

LTC4306 IBIS UFD Package

LTC4306 IBIS UFD Package
2021-01-30 09:06:050

LTC4305 IBIS Model GN Package

LTC4305 IBIS Model GN Package
2021-01-30 09:08:060

LTC4305 IBIS Model DHD Package

LTC4305 IBIS Model DHD Package
2021-01-30 09:10:061

CN0532 Design Support Package

CN0532 Design Support Package
2021-01-30 11:28:145

CN0428 Design Support Package

CN0428 Design Support Package
2021-02-03 10:01:090

M2510 Certification Package

M2510 Certification Package
2021-02-03 15:22:280

DE 10 Nano Power Tree

DE 10 Nano Power Tree
2021-02-04 16:26:347

HMC943ALP5DE S-Parameters

HMC943ALP5DE S-Parameters
2021-02-19 13:44:240

ETERNA2 Certification Zip Package

ETERNA2 Certification Zip Package
2021-02-19 16:27:348

ETERNA1 Certification Zip Package

ETERNA1 Certification Zip Package
2021-02-20 08:00:035

HMC1114LP5DE S-Parameters

HMC1114LP5DE S-Parameters
2021-02-26 10:31:140

HMC1114LP5DE Gerber Files

HMC1114LP5DE Gerber Files
2021-02-26 10:33:141

M2510 Certification Package

M2510 Certification Package
2021-03-06 08:13:265

DE 10 Nano Power Tree

DE 10 Nano Power Tree
2021-03-06 10:42:373

HMC943ALP5DE S-Parameters

HMC943ALP5DE S-Parameters
2021-03-07 10:01:310

HMC1114LP5DE S-Parameters

HMC1114LP5DE S-Parameters
2021-03-09 12:02:100

HMC1114LP5DE Gerber Files

HMC1114LP5DE Gerber Files
2021-03-09 12:06:112

HMC1132LP5DE S-Parameters

HMC1132LP5DE S-Parameters
2021-03-10 11:07:220

HMC1132LP5DE Gerber Files

HMC1132LP5DE Gerber Files
2021-03-10 11:09:220

AD9164 API - Download Source Code Package

AD9164 API - Download Source Code Package
2021-03-10 12:29:3312

AD916x API - Download Source Code Package

AD916x API - Download Source Code Package
2021-03-10 12:59:356

HMC943ALP5DE S参数

HMC943ALP5DE S参数
2021-04-09 12:53:190

一种基于DE和ELM的半监督分类方法

演化算法和分析方法的结合是机器学习领域近几年的一个研究热点。研究如何将差分进化(DE)演化算法与基于超限学习机(ELM)的半监督分类算法相结合。首先,提出了一种基于DE和ELM的半监督分类方法
2021-04-09 16:16:215

HMC943ALP5DE S参数

HMC943ALP5DE S参数
2021-05-28 17:30:480

HMC1132LP5DE S参数

HMC1132LP5DE S参数
2021-05-31 10:49:423

HMC114LP5DE S参数

HMC114LP5DE S参数
2021-05-31 15:30:362

De-10纳米动力树

De-10纳米动力树
2021-06-01 20:36:230

台信环型接近开关产品DE-AD-E120

台信环型接近开关产品DE-AD-E120
2021-08-18 15:09:491

台信环型接近开关产品DE-D-AB120

台信环型接近开关产品DE-D-AB120
2021-08-23 11:50:161

台信环型接近开关产品DE-A-AB120

台信环型接近开关产品DE-A-AB120
2021-08-23 11:51:051

台信环型接近开关产品DE-D-D120

台信环型接近开关产品DE-D-D120
2021-08-30 15:09:424

台信环型接近开关产品DE-D-B120

台信环型接近开关产品DE-D-B120
2021-08-30 15:08:027

(网盘)java之package以及eclipse的使用等试验视频资料

(网盘)java之package以及eclipse的使用等试验视频资料(电源技术期刊是不是ei)-关于java之package以及eclipse的使用等试验视频资料,适合感兴趣的学习者学习,可以提高自己的能力,大家可以多交流哈
2021-09-16 15:21:241

openwrt下面创建package之Makefile模版

openwrt下面创建package之Makefile模版(现代电源技术试题及答案)-进入openwrt/package/ ,mkdir eep以eep 为示例cd eepmkdir src把代码
2021-09-17 11:01:420

Tomato-Optware-MEGA-PACKAGE脚本安装教程

Tomato-Optware-MEGA-PACKAGE脚本安装教程(电源技术发展方向前沿动态)-该文档为Tomato-Optware-MEGA-PACKAGE脚本安装教程讲解文档,是一份还算不错的参考文档,感兴趣的可以下载看看,,,,,,,,,,,,,,,,,
2021-09-27 15:27:011

DE1-SoC结构及电路图

DE1-SoC结构及电路图免费下载。
2022-04-07 11:33:1117

SystemVerilog中的package和`include有什么不同?

肯定很多人会问为什么有的地方使用package,有的地方使用`include,二者是不是等价的呢?
2022-11-14 10:53:131169

Renesas Synergy™ 软件 Package(SSP)v1.3.2ユーザーズマニュアル(参考資料)

Renesas Synergy™ 软件 Package(SSP)v1.3.2 ユーザーズマニュアル(参考資料)
2023-03-17 20:18:402

RTKA227063DE0000BU评估板手册

RTKA227063DE0000BU评估板手册
2023-07-03 20:02:560

RTKA489000DE0000BU 评估板手册

RTKA489000DE0000BU 评估板手册
2023-07-04 18:57:480

RTKA223011DE0000BU User 手册

RTKA223011DE0000BU User 手册
2023-07-04 19:34:270

RTKA489800DE0000BU 用户手册

RTKA489800DE0000BU 用户手册
2023-07-05 20:01:040

Renesas Synergy™ 软件 Package(SSP)v1.3.2ユーザーズマニュアル(参考資料)

Renesas Synergy™ 软件 Package(SSP)v1.3.2 ユーザーズマニュアル(参考資料)
2023-07-07 19:08:210

已全部加载完成